-
Notifications
You must be signed in to change notification settings - Fork 0
Commit
This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.
- Loading branch information
Yaowu Yang
committed
Dec 13, 2013
1 parent
f215552
commit 7622ad6
Showing
4 changed files
with
167 additions
and
0 deletions.
There are no files selected for viewing
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1 @@ | ||
vsim -c -do simulate_mti.do | tee log |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,67 @@ | ||
//--------------------------------------------- | ||
//Dump Wave | ||
//--------------------------------------------- | ||
module dump_wave(); | ||
|
||
`ifdef vcd | ||
initial begin | ||
$dumpfile("tb.vcd"); | ||
$dumpvars(); | ||
end | ||
`endif | ||
|
||
`ifdef fsdb | ||
initial begin | ||
$fsdbDumpfile("tb.fsdb"); | ||
$fsdbDumpvars(0); | ||
$fsdbDumpon; | ||
end | ||
`endif | ||
|
||
`ifdef vpd | ||
initial | ||
begin | ||
$vcdplusfile("tb.vpd"); | ||
$vcdpluson; | ||
end | ||
`endif | ||
|
||
`ifdef vcde | ||
initial | ||
begin | ||
$dumpports(tb.dut,"tb.vcde"); | ||
$dumpportson; | ||
end | ||
`endif | ||
|
||
`ifdef shm | ||
initial | ||
begin | ||
$shm_open("tb.shm"); | ||
$shm_probe("AS"); | ||
end | ||
`endif | ||
|
||
|
||
initial | ||
begin | ||
//#(`TIME_OUT); | ||
//$display("%0t,Quit simulation as TIMEOUT!\n",$time); | ||
//$stop; | ||
end | ||
|
||
|
||
//--------------------------------------------- | ||
//Sdf Annotate | ||
//--------------------------------------------- | ||
|
||
`ifdef GSIM | ||
initial | ||
begin | ||
//$sdf_annotate( "../../syn/opt/ip_syn.sdf", dut,,"sdf_max.log","MAXIMUM"); | ||
//$sdf_annotate( "../../syn/opt/ip_syn.sdf", dut,,"sdf_min.log","MINIMUM"); | ||
end | ||
`endif | ||
|
||
endmodule | ||
|
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,50 @@ | ||
$fsdbVersion check=novas_plicompileNoArgs call=novas_plitaskVersion | ||
$fsdbSubstituteHier check=novas_plicompileSubstituteHier call=novas_plitaskSubstituteHier | ||
$fsdbDumpfile check=novas_plicompileDumpfile call=novas_plitaskDumpfile | ||
$fsdbDumpvars check=novas_plicompileDumpvars call=novas_plitaskDumpvars misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpSC check=novas_plicompileDumpSC call=novas_plitaskDumpSC misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpPattern check=novas_plicompileDumpPattern call=novas_plitaskDumpPattern misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbESDump check=novas_plicompileESDump call=novas_plitaskESDump misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpvarsES check=novas_plicompileDumpvarsES call=novas_plitaskDumpvarsES misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpvarsToFile check=novas_plicompileDumpvarsToFile call=novas_plitaskDumpvarsToFile misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbSwitchDumpfile check=novas_plicompileSwitchFile call=novas_plitaskSwitchFile | ||
$fsdbAutoSwitchDumpfile check=novas_plicompileAutoSwitchFile call=novas_plitaskAutoSwitchFile | ||
$fsdbDumpon check=novas_plicompileNoArgs call=novas_plitaskDumpon misc=novas_plimiscDumpon | ||
$fsdbDumpoff check=novas_plicompileNoArgs call=novas_plitaskDumpoff | ||
$fsdbDumpflush check=novas_plicompileNoArgs call=novas_plitaskDumpflush | ||
$fsdbDumplimit check=novas_plicompileDumplimit call=novas_plitaskDumplimit | ||
$fsdbDumpStrength check=novas_plicompileDumpStrength call=novas_plitaskDumpStrength | ||
$fsdbDumpMem check=novas_plicompileDumpMem call=novas_plitaskDumpMem misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMemNow check=novas_plicompileDumpMem call=novas_plitaskDumpMemNow misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMemInFile check=novas_plicompileDumpMemInFile call=novas_plitaskDumpMemInFile misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMemInScope check=novas_plicompileDumpMemInScope call=novas_plitaskDumpMemInScope misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMDA check=novas_plicompileDumpMDA call=novas_plitaskDumpMDA misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMDANow check=novas_plicompileDumpMDA call=novas_plitaskDumpMDANow misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMDAInScope check=novas_plicompileDumpMDAInScope call=novas_plitaskDumpMDAInScope misc=novas_plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpFinish check=novas_plicompileNoArgs call=novas_plitaskDumpFinish | ||
$fsdbInteractive check=novas_plicompileNoArgs call=novas_plitaskInteractive misc=novas_plimiscInteractive | ||
$fsdbDisplay check=novas_plicompileDisplay call=novas_plitaskDisplay misc=novas_plimiscFSDB acc=read,callback_all:* | ||
$fsdbSuppress check=novas_plicompileSuppress call=novas_plitaskSuppress | ||
$fsdbTest call=novas_plitaskTest | ||
$fsdbDebug check=novas_plicompileDebug call=novas_plitaskDebug | ||
$debussy check=novas_plicompileDebussy call=novas_plitaskDebussyVCS | ||
$vericom check=novas_plicompileVericom call=novas_plitaskVericom | ||
$db_breakatline check=novas_plicompileLineBreak call=novas_plitaskLineBreak | ||
$db_breakonceatline check=novas_plicompileLineBreak call=novas_plitaskOnceLineBreak | ||
$db_deletelinebreak check=novas_plicompileDeleteLineBreak call=novas_plitaskDeleteLineBreak | ||
$db_showlinebreak check=novas_plicompileNoArgs call=novas_plitaskShowLineBreak | ||
$db_setfocus check=novas_plicompileSetFocus call=novas_plitaskSetFocus | ||
$db_deletefocus check=novas_plicompileDeleteFocus call=novas_plitaskDeleteFocus | ||
$db_enablefocus check=novas_plicompileEnableFocus call=novas_plitaskEnableFocus | ||
$db_disablefocus check=novas_plicompileDisableFocus call=novas_plitaskDisableFocus | ||
$db_stopatfocus check=novas_plicompileNoArgs call=novas_plitaskStopAtFocus | ||
$fsdbDumpOVA check=novas_plicompileDumpOVA call=novas_plitaskDumpOVA misc=novas_plimiscFSDB acc=read:* | ||
$fsdbDumpOVAon call=novas_plitaskDumpOVAon | ||
$fsdbDumpOVAoff call=novas_plitaskDumpOVAoff | ||
$fsdbDumpSVA check=novas_plicompileDumpSVA call=novas_plitaskDumpSVA misc=novas_plimiscFSDB acc=read:* | ||
$fsdbDumpSVAon call=novas_plitaskDumpSVAon | ||
$fsdbDumpSVAoff call=novas_plitaskDumpSVAoff | ||
$fsdbDumpPSL check=novas_plicompileDumpPSL call=novas_plitaskDumpPSL misc=novas_plimiscFSDB acc=read:* | ||
$fsdbRecSVAasTrans check=novas_plicompileRecSVAasTrans call=novas_plitaskRecSVAasTrans misc=novas_plimiscFSDB acc=read:* | ||
$fsdbDumpPSLon call=novas_plitaskDumpPSLon | ||
$fsdbDumpPSLoff call=novas_plitaskDumpPSLoff |
This file contains bidirectional Unicode text that may be interpreted or compiled differently than what appears below. To review, open the file in an editor that reveals hidden Unicode characters.
Learn more about bidirectional Unicode characters
Original file line number | Diff line number | Diff line change |
---|---|---|
@@ -0,0 +1,49 @@ | ||
$fsdbVersion check=plicompileNoArgs call=plitaskVersion | ||
$fsdbSubstituteHier check=plicompileSubstituteHier call=plitaskSubstituteHier | ||
$fsdbDumpfile check=plicompileDumpfile call=plitaskDumpfile | ||
$fsdbDumpvars check=plicompileDumpvars call=plitaskDumpvars misc=plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpSC check=plicompileDumpSC call=plitaskDumpSC misc=plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpPattern check=plicompileDumpPattern call=plitaskDumpPattern misc=plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpvarsES check=plicompileDumpvarsES call=plitaskDumpvarsES misc=plimiscFSDB acc=read,callback_all:* | ||
$fsdbDumpvarsToFile check=plicompileDumpvarsToFile call=plitaskDumpvarsToFile misc=plimiscFSDB acc=read,callback_all:* | ||
$fsdbSwitchDumpfile check=plicompileSwitchFile call=plitaskSwitchFile | ||
$fsdbAutoSwitchDumpfile check=plicompileAutoSwitchFile call=plitaskAutoSwitchFile | ||
$fsdbDumpon check=plicompileNoArgs call=plitaskDumpon misc=plimiscDumpon | ||
$fsdbDumpoff check=plicompileNoArgs call=plitaskDumpoff | ||
$fsdbDumpflush check=plicompileNoArgs call=plitaskDumpflush | ||
$fsdbDumplimit check=plicompileDumplimit call=plitaskDumplimit | ||
$fsdbDumpStrength check=plicompileDumpStrength call=plitaskDumpStrength | ||
$fsdbDumpMem check=plicompileDumpMem call=plitaskDumpMem misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMemNow check=plicompileDumpMem call=plitaskDumpMemNow misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMemInFile check=plicompileDumpMemInFile call=plitaskDumpMemInFile misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMemInScope check=plicompileDumpMemInScope call=plitaskDumpMemInScope misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMDA check=plicompileDumpMDA call=plitaskDumpMDA misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMDANow check=plicompileDumpMDA call=plitaskDumpMDANow misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpMDAInScope check=plicompileDumpMDAInScope call=plitaskDumpMDAInScope misc=plimiscDumpMem acc=read,callback_all:* | ||
$fsdbDumpFinish check=plicompileNoArgs call=plitaskDumpFinish | ||
$fsdbInteractive check=plicompileNoArgs call=plitaskInteractive misc=plimiscInteractive | ||
$fsdbDisplay check=plicompileDisplay call=plitaskDisplay misc=plimiscFSDB acc=read,callback_all:* | ||
$fsdbSuppress check=plicompileSuppress call=plitaskSuppress | ||
$fsdbTest call=plitaskTest | ||
$fsdbDebug check=plicompileDebug call=plitaskDebug | ||
$debussy check=plicompileDebussy call=plitaskDebussyVCS | ||
$vericom check=plicompileVericom call=plitaskVericom | ||
$db_breakatline check=plicompileLineBreak call=plitaskLineBreak | ||
$db_breakonceatline check=plicompileLineBreak call=plitaskOnceLineBreak | ||
$db_deletelinebreak check=plicompileDeleteLineBreak call=plitaskDeleteLineBreak | ||
$db_showlinebreak check=plicompileNoArgs call=plitaskShowLineBreak | ||
$db_setfocus check=plicompileSetFocus call=plitaskSetFocus | ||
$db_deletefocus check=plicompileDeleteFocus call=plitaskDeleteFocus | ||
$db_enablefocus check=plicompileEnableFocus call=plitaskEnableFocus | ||
$db_disablefocus check=plicompileDisableFocus call=plitaskDisableFocus | ||
$db_stopatfocus check=plicompileNoArgs call=plitaskStopAtFocus | ||
$fsdbDumpOVA check=plicompileDumpOVA call=plitaskDumpOVA misc=plimiscFSDB acc=read:* | ||
$fsdbDumpOVAon call=plitaskDumpOVAon | ||
$fsdbDumpOVAoff call=plitaskDumpOVAoff | ||
$fsdbDumpSVA check=plicompileDumpSVA call=plitaskDumpSVA misc=plimiscFSDB acc=read:* | ||
$fsdbDumpSVAon call=plitaskDumpSVAon | ||
$fsdbDumpSVAoff call=plitaskDumpSVAoff | ||
$fsdbDumpPSL check=plicompileDumpPSL call=plitaskDumpPSL misc=plimiscFSDB acc=read:* | ||
$fsdbRecSVAasTrans check=plicompileRecSVAasTrans call=plitaskRecSVAasTrans misc=plimiscFSDB acc=read:* | ||
$fsdbDumpPSLon call=plitaskDumpPSLon | ||
$fsdbDumpPSLoff call=plitaskDumpPSLoff |