diff --git a/LCLS-II/core/rtl/GthRxAlignCheck.vhd b/LCLS-II/core/rtl/GthRxAlignCheck.vhd index b97737a0..ede87640 100644 --- a/LCLS-II/core/rtl/GthRxAlignCheck.vhd +++ b/LCLS-II/core/rtl/GthRxAlignCheck.vhd @@ -108,13 +108,6 @@ architecture rtl of GthRxAlignCheck is signal txClkFreq : slv(31 downto 0); signal rxClkFreq : slv(31 downto 0); - - -- attribute dont_touch : string; - -- attribute dont_touch of r : signal is "TRUE"; - -- attribute dont_touch of ack : signal is "TRUE"; - -- attribute dont_touch of txClkFreq : signal is "TRUE"; - -- attribute dont_touch of rxClkFreq : signal is "TRUE"; - begin U_txClkFreq : entity surf.SyncClockFreq @@ -244,7 +237,7 @@ begin end if; -- Check for user reset - if (resetIn = '1') or (resetErr = '1') then + if (resetIn = '1') or (resetErr = '1' and resetDone = '1') then -- Setup flags for reset state v.rst := '1'; v.req.request := '0'; diff --git a/LCLS-II/core/rtl/TimingDeserializer.vhd b/LCLS-II/core/rtl/TimingDeserializer.vhd index babaf5dc..d6f4f9e1 100644 --- a/LCLS-II/core/rtl/TimingDeserializer.vhd +++ b/LCLS-II/core/rtl/TimingDeserializer.vhd @@ -79,9 +79,9 @@ architecture TimingDeserializer of TimingDeserializer is signal r : RegType := REG_INIT_C; signal rin : RegType; signal crc : slv(31 downto 0); - + begin - + fiducial <= r.fiducial; streams <= r.streams; advance <= r.advance; diff --git a/LCLS-II/core/rtl/TimingFrameRx.vhd b/LCLS-II/core/rtl/TimingFrameRx.vhd index 55df77f6..316ff73b 100644 --- a/LCLS-II/core/rtl/TimingFrameRx.vhd +++ b/LCLS-II/core/rtl/TimingFrameRx.vhd @@ -83,7 +83,7 @@ architecture rtl of TimingFrameRx is signal dframe : DataArray; signal dstrobe : slv(15 downto 1); signal dvalid : slv(15 downto 1); - + begin delayRst <= rxRst or messageDelayRst or doverflow0; diff --git a/LCLS-II/core/rtl/TimingRx.vhd b/LCLS-II/core/rtl/TimingRx.vhd index f74aaeb1..5756027c 100644 --- a/LCLS-II/core/rtl/TimingRx.vhd +++ b/LCLS-II/core/rtl/TimingRx.vhd @@ -139,7 +139,6 @@ architecture rtl of TimingRx is signal timingTSEventCounter : slv(31 downto 0); signal timingTSEvCntGray_i : slv(31 downto 0); signal timingTSEvCntGray_o : Slv32Array(5 downto 0); - begin NOGEN_RxLcls1 : if (CLKSEL_MODE_G = "LCLSII" or CLKSEL_MODE_G = "LCLSIIPIC") generate @@ -194,7 +193,7 @@ begin rxVersion => rxVersion(1), staData => staData (1)); end generate; - + axilComb : process (axilR, axilRst, axilReadMaster, axilRxLinkUp, axilStatusCounters12, axilStatusCounters3, axilVersion, axilVsnErr, axilWriteMaster, rxStatusCount, timingTSEvCntGray_o, txClkCntS) is diff --git a/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.dcp b/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.dcp index c7feadfa..d2c0efb2 100644 --- a/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.dcp +++ b/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.dcp @@ -1,3 +1,3 @@ version https://git-lfs.github.com/spec/v1 -oid sha256:c719623ef856564085b76b91ca98edce8a1c694e6742efc3c2494bcb3c9c4cb8 -size 312926 +oid sha256:a78923253d83a5840ec83634dc9fa0216d355afe4a53d9da6ae8d0f37c6d2180 +size 310428 diff --git a/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.xci b/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.xci index 60c326f1..69afab2c 100644 --- a/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.xci +++ b/LCLS-II/gthUltraScale+/coregen/TimingGth_extref.xci @@ -1,1444 +1,924 @@ - - - xilinx.com - xci - unknown - 1.0 - - - TimingGth_extref - - - "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" - 1 - 3714.2857 - 0 - 0 - 185.714285 - 57 - 2 - 2 - 0 - 2 - 0 - 0 - 1 - 0 - 1 - 0 - 250 - 0 - 0 - 0 - 0 - 0 - 0 - "00000000" - "00000000" - 1 - 1 - 0 - "00000000000000000000000000000000000000000000000000000000000000000000000000000000" - "00000000" - 0 - "00000000" - 1 - 0 - 5000 - "00000000000000000000000000000000000000000000000000000000000000000000000000000000" - 1 - "1010000011" - 1 - "0101111100" - 1 - 1 - 20 - 3.7142857 - 3 - 1 - 185.7142850 - 1 - 2 - 0x000000000000000000000000000000000000000000000000 - 185.714285 - 0 - 0 - 0 - 1 - 1 - 0 - 16 - 185.7142850 - 185.7142850 - 0 - 257.8125 - 0 - 1 - 0 - 0 - 0 - 2 - 185.714285 - 0 - 0 - 0 - 1 - 1 - 20 - 3.7142857 - 3 - 1 - 185.7142850 - 2 - 2 - 185.714285 - 0 - 0 - 1 - 1 - 0 - 16 - 185.7142850 - 185.7142850 - 1 - X0Y3 - TimingGth_extref - 0 - 0 - drpaddr_in drpclk_in drpdi_in drpen_in drpwe_in loopback_in rxpolarity_in txinhibit_in txpolarity_in drpdo_out drprdy_out rxdlysresetdone_out rxphaligndone_out rxphalignerr_out rxresetdone_out rxsyncdone_out rxsyncout_out txresetdone_out - 185.714285 - BOTH - 0 - GTH - 2 - 14 - 96 - 7 - gthe4 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - 0 - 0 - 0 - -1 - -1 - 0 - 1 - 1 - 1 - 1 - -1 - -1 - 0 - -1 - -1 - 0 - 1 - -1 - -1 - 1 - -1 - -1 - 0 - 0 - -1 - 0 - 0 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - 0 - -1 - 1 - 1 - 1 - 1 - 1 - 1 - 1 - 1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 1 - 1 - -1 - -1 - -1 - -1 - 1 - 1 - -1 - 1 - 1 - 1 - -1 - 1 - 1 - 1 - -1 - -1 - -1 - -1 - -1 - 1 - -1 - 1 - -1 - -1 - -1 - 1 - 1 - -1 - -1 - -1 - -1 - 0 - 0 - -1 - -1 - -1 - 0 - 0 - 0 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - 0 - -1 - 1 - 0 - 0 - 0 - 1 - 1 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 1 - 0 - 0 - 1 - 1 - 1 - 1 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - 0 - 0 - 0 - -1 - -1 - 0 - 0 - 0 - 0 - 1 - 1 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - -1 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - 0 - 0 - 0 - -1 - 1 - 1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - 0 - 1 - -1 - 0 - 0 - 0 - 0 - 0 - 1 - 1 - 1 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - -1 - 0 - 0 - 0 - -1 - 0 - 0 - -1 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - 0 - 0 - -1 - 1 - 1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 25 - 0 - Aurora_8B10B - 9 - 185.7142850 - 1 - 0 - 185.7142850 - true - CORE - NONE - CORE - CORE - EXAMPLE_DESIGN - CORE - EXAMPLE_DESIGN - CORE - false - NAME - false - 250 - false - false - 250 - GTH-Aurora_8B10B - 0 - MULTI - 0 - ENABLE - DISABLE - ENABLE - 00000000 - false - false - false - false - false - false - false - false - 00000000 - false - false - false - false - false - false - false - false - 1 - 00000000 - false - false - false - false - false - false - false - false - 1 - 1 - 0 - 00000000000000000000000000000000000000000000000000000000000000000000000000000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - false - false - false - false - false - false - false - false - 00000000 - DISABLE - false - false - false - false - false - false - false - false - 1 - 00000000 - false - false - false - false - false - false - false - false - 0 - 5000 - ENABLE - 0 - 00000000000000000000000000000000000000000000000000000000000000000000000000000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 2 - false - 1111111111 - true - 1010000011 - K28.5 - true - 0101111100 - false - 0 - AC - 8B10B - true - AUTO - 20 - 2.2281258 - -20 - 3.7142857 - X0Y3 - RXOUTCLKPMA - CPLL - 200 - 0 - - 185.714285 - - OFF - 0 - PROGRAMMABLE - 800 - 16 - 15 - false - 0 - 10.3125 - 257.8125 - 0 - false - CPLL - 185.714285 - 0 - ENABLE - 8B10B - CUSTOM - true - 20 - 3.7142857 - X0Y3 - TXPLLREFCLK_DIV1 - CPLL - 0 - 185.714285 - - 16 - false - 1 - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - true - false - true - false - true - false - true - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - true - true - false - false - false - false - false - false - true - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - true - true - true - true - true - true - false - false - false - false - false - false - false - false - false - true - true - false - false - false - false - true - true - false - true - true - true - false - true - true - true - false - false - false - false - false - true - false - true - false - false - false - true - true - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - false - false - true - true - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - true - false - false - false - true - false - true - false - false - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - true - false - false - true - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - true - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - kintexuplus - - - xcku15p - ffva1156 - VHDL - - MIXED - -2 - - E - TRUE - TRUE - IP_Flow - 12 - TRUE - ../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref - - ../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref - 2021.2 - OUT_OF_CONTEXT - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "TimingGth_extref", + "component_reference": "xilinx.com:ip:gtwizard_ultrascale:1.7", + "ip_revision": "15", + "gen_directory": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref", + "parameters": { + "component_parameters": { + "GT_TYPE": [ { "value": "GTH", "resolve_type": "user", "usage": "all" } ], + "INTERNAL_GT_PRIM_TYPE": [ { "value": "gthe4", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "GT_REV": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "GT_DIRECTION": [ { "value": "BOTH", "resolve_type": "user", "usage": "all" } ], + "RX_ENABLE": [ { "value": "true", "resolve_type": "generated", "format": "bool", "enabled": false, "usage": "all" } ], + "TX_ENABLE": [ { "value": "true", "resolve_type": "generated", "format": "bool", "enabled": false, "usage": "all" } ], + "CHANNEL_ENABLE": [ { "value": "X0Y3", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_MASTER_CHANNEL": [ { "value": "X0Y3", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_MASTER_CHANNEL": [ { "value": "X0Y3", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "INTERNAL_TOTAL_NUM_CHANNELS": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_TOTAL_NUM_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "LOCATE_COMMON": [ { "value": "CORE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "INTERNAL_NUM_COMMONS_CORE": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_NUM_COMMONS_EXAMPLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "enabled": false, "usage": "all" } ], + "INTERNAL_RX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "enabled": false, "usage": "all" } ], + "RX_PPM_OFFSET": [ { "value": "200", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "OOB_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "INS_LOSS_NYQ": [ { "value": "14", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PCIE_CORECLK_FREQ": [ { "value": "250", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCIE_USERCLK_FREQ": [ { "value": "250", "resolve_type": "user", "format": "float", "usage": "all" } ], + "TX_LINE_RATE": [ { "value": "3.7142857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "TX_PLL_TYPE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_REFCLK_FREQUENCY": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "TX_DATA_ENCODING": [ { "value": "8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_USER_DATA_WIDTH": [ { "value": "16", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_INT_DATA_WIDTH": [ { "value": "20", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_BUFFER_MODE": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_OUTCLK_SOURCE": [ { "value": "TXPLLREFCLK_DIV1", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_DIFF_SWING_EMPH_MODE": [ { "value": "CUSTOM", "resolve_type": "user", "usage": "all" } ], + "RX_LINE_RATE": [ { "value": "3.7142857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RX_PLL_TYPE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_REFCLK_FREQUENCY": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RX_DATA_DECODING": [ { "value": "8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_USER_DATA_WIDTH": [ { "value": "16", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_INT_DATA_WIDTH": [ { "value": "20", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_BUFFER_MODE": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_EQ_MODE": [ { "value": "AUTO", "resolve_type": "user", "usage": "all" } ], + "RX_JTOL_FC": [ { "value": "2.2281258", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RX_JTOL_LF_SLOPE": [ { "value": "-20", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_OUTCLK_SOURCE": [ { "value": "RXOUTCLKPMA", "resolve_type": "user", "usage": "all" } ], + "SIM_CPLL_CAL_BYPASS": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCIE_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_TERMINATION": [ { "value": "PROGRAMMABLE", "resolve_type": "user", "usage": "all" } ], + "RX_TERMINATION_PROG_VALUE": [ { "value": "800", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COUPLING": [ { "value": "AC", "resolve_type": "user", "usage": "all" } ], + "RX_BUFFER_BYPASS_MODE": [ { "value": "MULTI", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_BUFFER_RESET_ON_CB_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_BUFFER_RESET_ON_COMMAALIGN": [ { "value": "DISABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_BUFFER_RESET_ON_RATE_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "TX_BUFFER_RESET_ON_RATE_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RESET_SEQUENCE_INTERVAL": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COMMA_PRESET": [ { "value": "K28.5", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_VALID_ONLY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COMMA_P_ENABLE": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_COMMA_M_ENABLE": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_COMMA_DOUBLE_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_COMMA_P_VAL": [ { "value": "0101111100", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_M_VAL": [ { "value": "1010000011", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_MASK": [ { "value": "1111111111", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_ALIGN_WORD": [ { "value": "2", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COMMA_SHOW_REALIGN_ENABLE": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_SLIDE_MODE": [ { "value": "OFF", "resolve_type": "user", "usage": "all" } ], + "RX_CB_NUM_SEQ": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_LEN_SEQ": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_MAX_SKEW": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_MAX_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_MASK": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_VAL": [ { "value": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_K": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_DISP": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_0": [ { "value": "00000000", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_0": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_1": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_0": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_1": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_NUM_SEQ": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_LEN_SEQ": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_PERIODICITY": [ { "value": "5000", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_KEEP_IDLE": [ { "value": "DISABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_PRECEDENCE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_REPEAT_WAIT": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_MASK": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CC_VAL": [ { "value": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_CC_K": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CC_DISP": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_0": [ { "value": "00000000", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_0": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_1": [ { "value": "00000000", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_1": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_0": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_1": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "ENABLE_OPTIONAL_PORTS": [ { "value": "drpaddr_in drpclk_in drpdi_in drpen_in drpwe_in loopback_in rxpolarity_in txinhibit_in txpolarity_in drpdo_out drprdy_out rxdlysresetdone_out rxphaligndone_out rxphalignerr_out rxresetdone_out rxsyncdone_out rxsyncout_out txresetdone_out", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_REFCLK_SOURCE": [ { "value": "", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_REFCLK_SOURCE": [ { "value": "", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_RECCLK_OUTPUT": [ { "value": "", "resolve_type": "user", "usage": "all" } ], + "LOCATE_RESET_CONTROLLER": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_TX_BUFFER_BYPASS_CONTROLLER": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_RX_BUFFER_BYPASS_CONTROLLER": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_IN_SYSTEM_IBERT_CORE": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_TX_USER_CLOCKING": [ { "value": "EXAMPLE_DESIGN", "resolve_type": "user", "usage": "all" } ], + "LOCATE_RX_USER_CLOCKING": [ { "value": "EXAMPLE_DESIGN", "resolve_type": "user", "usage": "all" } ], + "LOCATE_USER_DATA_WIDTH_SIZING": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "ORGANIZE_PORTS_BY": [ { "value": "NAME", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PRESET": [ { "value": "GTH-Aurora_8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "INTERNAL_PRESET": [ { "value": "Aurora_8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "INTERNAL_PORT_USAGE_UPDATED": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLEMENT_UPDATED": [ { "value": "25", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_CHANNEL_SITES_UPDATED": [ { "value": "7", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_CHANNEL_COLUMN_LOC_MAX": [ { "value": "96", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_RX_COMMA_PRESET_UPDATE": [ { "value": "9", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_UPDATE_IP_SYMBOL_drpclk_in": [ { "value": "true", "resolve_type": "generated", "format": "bool", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_LINE_RATE": [ { "value": "10.3125", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_REFCLK_FREQUENCY": [ { "value": "257.8125", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ], + "TXPROGDIV_FREQ_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "TXPROGDIV_FREQ_SOURCE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "TXPROGDIV_FREQ_VAL": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ], + "SATA_TX_BURST_LEN": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREERUN_FREQUENCY": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "INCLUDE_CPLL_CAL": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "USER_GTPOWERGOOD_DELAY_EN": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DISABLE_LOC_XDC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ENABLE_COMMON_USRCLK": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "USB_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PCIE_64BIT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PCIE_GEN4_EIOS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_RESET_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_ACTIVE_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_SRCCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_USRCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_USRCLK2_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_ACTIVE_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_ACTIVE_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_SRCCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_USRCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_USRCLK2_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_ACTIVE_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_RESET_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_START_USER_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_ERROR_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_RESET_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_START_USER_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_ERROR_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_CLK_FREERUN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_ALL_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_PLL_AND_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_PLL_AND_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_DONE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_DONE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL0LOCK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL1LOCK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_CDR_STABLE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL0RESET_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL1RESET_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE3_CPLL_CAL_TXOUTCLK_PERIOD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE3_CPLL_CAL_CNT_TOL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE3_CPLL_CAL_BUFG_CE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE4_CPLL_CAL_TXOUTCLK_PERIOD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE4_CPLL_CAL_CNT_TOL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE4_CPLL_CAL_BUFG_CE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTYE4_CPLL_CAL_TXOUTCLK_PERIOD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTYE4_CPLL_CAL_CNT_TOL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTYE4_CPLL_CAL_BUFG_CE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERDATA_TX_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERDATA_RX_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGBYPASSB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGMONITORENB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGPDB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGRCALOVRD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGRCALOVRDENB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPADDR_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPCLK_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDI_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPEN_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPWE_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTGREFCLK0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTGREFCLK1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK00_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK01_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK10_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK11_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK00_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK01_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK10_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK11_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK00_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK01_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK10_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK11_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLL0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLL1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVD0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0CLKRSVD0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0CLKRSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0FBDIV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0LOCKDETCLK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0LOCKEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0PD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0REFCLKSEL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1CLKRSVD0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1CLKRSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1FBDIV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1LOCKDETCLK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1LOCKEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1PD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1REFCLKSEL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD2_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD3_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD4_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RCALENB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0DATA_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0TOGGLE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0WIDTH_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1DATA_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1TOGGLE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1WIDTH_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONGPI_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONPOWERUP_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONRSVDIN1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBCFGSTREAMEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDO_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDRDY_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBENABLE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBGPI_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBINTR_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBIOLMBRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMBRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMCAPTURE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMDBGRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMDBGUPDATE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMREGEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMSHIFT_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMSYSRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMTCK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMTDI_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDO_COMMON_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPRDY_COMMON_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVDOUT0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVDOUT1_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0FBCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0LOCK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0OUTCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0OUTREFCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0REFCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1FBCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1LOCK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1OUTCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1OUTREFCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1REFCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLDMONITOR0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLDMONITOR1_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_REFCLKOUTMONITOR0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_REFCLKOUTMONITOR1_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK0_SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK1_SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK0SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK1SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0FINALOUT_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0TESTDATA_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1FINALOUT_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1TESTDATA_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONGPO_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONRSVDOUT0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDADDR_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDEN_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDI_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDWE_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMTDO_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBRSVDOUT_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBTXUART_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CDRSTEPDIR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CDRSTEPSQ_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CDRSTEPSX_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CFGRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CLKRSVD0_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CLKRSVD1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLFREQLOCK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLLOCKDETCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLLOCKEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLREFCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONFIFORESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONITORCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPADDR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDI_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPRST_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPWE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_ELPCALDVORWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_ELPCALPAORWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHICALDONE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHICALSTART_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIDRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIDWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIXRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIXWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANMODE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANTRIGGER_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_FREQOS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTGREFCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHRXN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHRXP_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK0_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK0_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRESETSEL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRSVD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRXRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRXRESETSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK0_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTTXRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTTXRESETSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_INCPCTRL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYRXN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYRXP_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LOOPBACK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LOOPRSVD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LPBKRXTXSEREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LPBKTXRXSEREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEEQRXEQADAPTDONE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERSTIDLE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERSTTXSYNCSTART_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERRATEDONE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCSRSVDIN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCSRSVDIN2_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVDIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0CLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0FREQLOCK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0REFCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1CLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1FREQLOCK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1REFCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RESETOVRD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RSTCLKENTX_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RX8B10BEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXAFECFOKEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBUFRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRFREQRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDROVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRRESETRSV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDI_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDLEVEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDMASTER_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDSLAVE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCKCALRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCKCALSTART_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMMADETEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEAGCCTRL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDCCFORCESTART_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEAGCHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEAGCOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKFCNUM_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKFEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKFPULSE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKOVREN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEKHHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEKHOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFELFHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFELFOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFELPMRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP10HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP10OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP11HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP11OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP12HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP12OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP13HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP13OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP14HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP14OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP15HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP15OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP2HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP2OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP3HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP3OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP4HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP4OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP5HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP5OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP6HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP6OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP7HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP7OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP8HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP8OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP9HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP9OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEUTHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEUTOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEVPHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEVPOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEVSEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEXYDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYBYPASS_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYSRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXELECIDLEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXEQTRAINING_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXGEARBOXSLIP_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLATCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMGCHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMGCOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMHFHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMHFOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMLFHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMLFKLOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMOSHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMOSOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXMCOMMAALIGNEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXMONITORSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOOBRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSCALRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTCFG_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTHOLD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTROBE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTTESTOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPCOMMAALIGNEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPCSRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGNEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHDLYPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHDLYRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPLLCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPMARESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPOLARITY_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSCNTRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPROGDIVRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXQPIEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRATE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRATEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIDE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPOUTCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPPMA_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCALLIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCMODE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYSCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXTERMINATION_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXUSERRDY_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXUSRCLK_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXUSRCLK2_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SIGVALIDCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TSTIN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TX8B10BBYPASS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TX8B10BEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXBUFDIFFCTRL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMINIT_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMSAS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMWAKE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCTRL0_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCTRL1_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCTRL2_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDATA_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDATAEXTENDRSVD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDCCFORCESTART_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDCCRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDEEMPH_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDETECTRX_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDIFFCTRL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDIFFPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYBYPASS_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYHOLD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYSRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYUPDOWN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXELECIDLE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXELFORCESTART_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXHEADER_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXINHIBIT_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLATCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLFPSTRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLFPSU2LPEXIT_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLFPSU3WAKE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMAINCURSOR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMARGIN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMUXDCDEXHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMUXDCDORWREN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXONESZEROS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPCSRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPDELECIDLEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHALIGN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHALIGNEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHDLYPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHDLYRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHDLYTSTCLK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHINIT_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMSTEPSIZE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPISOPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPLLCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPMARESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPOLARITY_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPOSTCURSOR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPOSTCURSORINV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRBSFORCEERR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRBSSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRECURSOR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRECURSORINV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPROGDIVRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPIBIASEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPISTRONGPDOWN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPIWEAKPUP_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRATE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRATEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSEQUENCE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSWING_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCALLIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCMODE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYSCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXUSERRDY_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXUSRCLK_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXUSRCLK2_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTCE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTCEMASK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTDIV_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTRESET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTRSTMASK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLFBCLKLOST_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLLOCK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLREFCLKLOST_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONITOROUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONITOROUTCLK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDO_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPRDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANDATAERROR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHTXN_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHTXP_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTPOWERGOOD_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLKMONITOR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYTXN_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYTXP_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEGEN3_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEIDLE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLLPD_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLLRESET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIESYNCTXSYNCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERGEN3RDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERPHYSTATUSRST_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERRATESTART_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCSRSVDOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PHYSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PINRSRVDAS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_POWERPRESENT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RESETEXCEPTION_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBUFSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBYTEISALIGNED_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBYTEREALIGN_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRLOCK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRPHDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHANBONDSEQ_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHANISALIGNED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHANREALIGN_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDO_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCKCALDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCLKCORCNT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMINITDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMMADET_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMSASDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMWAKEDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL0_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL1_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL2_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL3_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDATA_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDATAEXTENDRSVD_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDATAVALID_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYSRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXELECIDLE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXHEADER_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXHEADERVALID_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLFPSTRESETDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLFPSU2LPEXITDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLFPSU3WAKEDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXMONITOROUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTARTED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTROBEDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTROBESTARTED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLK_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLKFABRIC_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLKPCS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGNDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGNERR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPMARESETDONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSERR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSLOCKED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRGDIVRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXQPISENN_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXQPISENP_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRATEDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLKOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIDERDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPOUTCLKRDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPPMARDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSTARTOFSEQ_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXVALID_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXBUFSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMFINISH_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDCCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYSRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLK_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLKFABRIC_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLKPCS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHALIGNDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHINITDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPMARESETDONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRGDIVRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPISENN_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPISENP_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRATEDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "Component_Name": [ { "value": "TimingGth_extref", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_CHANNEL_ENABLE": [ { "value": "\"000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIE_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PCIE_CORECLK_FREQ": [ { "value": "250", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_COMMON_SCALING_FACTOR": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CPLL_VCO_FREQUENCY": [ { "value": "3714.2857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_FORCE_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FREERUN_FREQUENCY": [ { "value": "185.714285", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_GT_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_GT_REV": [ { "value": "57", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INCLUDE_CPLL_CAL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_COMMON_USRCLK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USER_GTPOWERGOOD_DELAY_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SIM_CPLL_CAL_BYPASS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_COMMON": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_RESET_CONTROLLER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_USER_DATA_WIDTH_SIZING": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_IN_SYSTEM_IBERT_CORE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_RX_USER_CLOCKING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_TX_USER_CLOCKING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RESET_CONTROLLER_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_BUFFBYPASS_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_BUFFER_BYPASS_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_BUFFER_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_DISP": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CB_K": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CB_MAX_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_LEN_SEQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_NUM_SEQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_VAL": [ { "value": "\"00000000000000000000000000000000000000000000000000000000000000000000000000000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CC_DISP": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CC_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RESET_SEQUENCE_INTERVAL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_K": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CC_LEN_SEQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_NUM_SEQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_PERIODICITY": [ { "value": "5000", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_VAL": [ { "value": "\"00000000000000000000000000000000000000000000000000000000000000000000000000000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_COMMA_M_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_COMMA_M_VAL": [ { "value": "\"1010000011\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_COMMA_P_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_COMMA_P_VAL": [ { "value": "\"0101111100\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_DATA_DECODING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_LINE_RATE": [ { "value": "3.7142857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_MASTER_CHANNEL_IDX": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_OUTCLK_BUFG_GT_DIV": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_OUTCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_OUTCLK_SOURCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_RECCLK_OUTPUT": [ { "value": "0x000000000000000000000000000000000000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_REFCLK_FREQUENCY": [ { "value": "185.714285", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_SLIDE_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_CONTENTS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_SOURCE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_DATA_WIDTH": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_USRCLK2_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_SECONDARY_QPLL_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SECONDARY_QPLL_REFCLK_FREQUENCY": [ { "value": "257.8125", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TOTAL_NUM_CHANNELS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TOTAL_NUM_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TOTAL_NUM_COMMONS_EXAMPLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TXPROGDIV_FREQ_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TXPROGDIV_FREQ_SOURCE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TXPROGDIV_FREQ_VAL": [ { "value": "185.714285", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_BUFFBYPASS_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_BUFFER_BYPASS_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_BUFFER_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_DATA_ENCODING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_LINE_RATE": [ { "value": "3.7142857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_MASTER_CHANNEL_IDX": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_OUTCLK_BUFG_GT_DIV": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_OUTCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_OUTCLK_SOURCE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_REFCLK_FREQUENCY": [ { "value": "185.714285", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_USER_CLOCKING_CONTENTS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_SOURCE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_DATA_WIDTH": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_USRCLK2_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintexuplus" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xcku15p" } ], + "PACKAGE": [ { "value": "ffva1156" } ], + "PREFHDL": [ { "value": "VHDL" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Flow" } ], + "IPREVISION": [ { "value": "15" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_extref" } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "gtwiz_userclk_tx_reset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userclk_tx_active_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userclk_rx_active_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_reset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_start_user_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_error_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_reset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_start_user_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_error_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_clk_freerun_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_all_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_tx_pll_and_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_tx_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_pll_and_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_cdr_stable_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_tx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userdata_tx_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userdata_rx_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "drpaddr_in": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0" } ], + "drpclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "drpdi_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "drpen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "drpwe_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthrxn_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthrxp_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtrefclk0_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "loopback_in": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "rx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxcommadeten_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxmcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxpcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxusrclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxusrclk2_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "tx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txctrl0_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "txctrl1_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "txctrl2_in": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "txinhibit_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txusrclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txusrclk2_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "drpdo_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "drprdy_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthtxn_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthtxp_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtpowergood_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxbyteisaligned_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxbyterealign_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxcommadet_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxctrl0_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "rxctrl1_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "rxctrl2_out": [ { "direction": "out", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "rxctrl3_out": [ { "direction": "out", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "rxdlysresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxoutclk_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxphaligndone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxphalignerr_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxpmaresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxsyncdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxsyncout_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txoutclk_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txpmaresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ] + } + } + } +} diff --git a/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.dcp b/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.dcp index 578e06fc..77d4bb9f 100644 --- a/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.dcp +++ b/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.dcp @@ -1,3 +1,3 @@ version https://git-lfs.github.com/spec/v1 -oid sha256:050ad1f7d8baf6e9eb4f97033155ef2415cdc9fcb8006ed58b048dd0410e0914 -size 376127 +oid sha256:56a4b65b65168b6ae16ed53a51d38e82918671dcb0a63419c74f8368ac4cfb0b +size 313456 diff --git a/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.xci b/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.xci index 4ac82a69..0310ae45 100644 --- a/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.xci +++ b/LCLS-II/gthUltraScale+/coregen/TimingGth_fixedlat.xci @@ -1,1514 +1,926 @@ - - - xilinx.com - xci - unknown - 1.0 - - - TimingGth_fixedlat - - - "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" - 1 - 3714.2857 - 0 - 0 - 156.25 - 57 - 2 - 2 - 0 - 2 - 0 - 0 - 1 - 0 - 1 - 0 - 250 - 0 - 0 - 0 - 0 - 0 - 0 - "00000000" - "00000000" - 1 - 1 - 0 - "00000000000000000000000000000000000000000000000000000000000000000000000000000000" - "00000000" - 0 - "00000000" - 1 - 0 - 5000 - "00000000000000000000000000000000000000000000000000000000000000000000000000000000" - 1 - "1010000011" - 1 - "0101111100" - 1 - 1 - 20 - 3.7142857 - 3 - 1 - 185.7142850 - 1 - 2 - 0x000000000000000000000000000000000000000000000000 - 371.42857 - 0 - 0 - 0 - 1 - 1 - 0 - 16 - 185.7142850 - 185.7142850 - 0 - 257.8125 - 1 - 1 - 0 - 0 - 0 - 2 - 185.714285 - 0 - 0 - 0 - 1 - 1 - 20 - 3.7142857 - 3 - 2 - 371.4285700 - 2 - 2 - 371.42857 - 0 - 0 - 2 - 1 - 0 - 16 - 185.7142850 - 185.7142850 - 1 - X0Y3 - TimingGth_fixedlat - 0 - 0 - cpllrefclksel_in drpaddr_in drpclk_in drpdi_in drpen_in drpwe_in gtgrefclk_in loopback_in rxpolarity_in txinhibit_in txpolarity_in drpdo_out drprdy_out rxdlysresetdone_out rxphaligndone_out rxphalignerr_out rxresetdone_out rxsyncdone_out rxsyncout_out txresetdone_out - 156.25 - BOTH - 0 - GTH - 2 - 14 - 96 - 7 - gthe4 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - -1 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - 0 - 0 - 0 - -1 - -1 - 0 - 1 - 1 - 1 - 1 - -1 - -1 - 0 - -1 - -1 - 0 - 1 - -1 - -1 - 1 - -1 - -1 - 0 - 0 - -1 - 0 - 0 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - 0 - -1 - 1 - 1 - 1 - 1 - 1 - 1 - 1 - 1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 1 - 1 - -1 - -1 - -1 - -1 - 1 - 1 - -1 - 1 - 1 - 1 - -1 - 1 - 1 - 1 - -1 - -1 - -1 - -1 - -1 - 1 - -1 - 1 - -1 - -1 - -1 - 1 - 1 - -1 - -1 - -1 - -1 - 0 - 0 - -1 - -1 - -1 - 0 - 0 - 0 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - 0 - -1 - 1 - 0 - 0 - 0 - 1 - 1 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 1 - 0 - 0 - 1 - 1 - 1 - 1 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - 0 - 0 - 0 - -1 - -1 - 0 - 0 - 0 - 0 - 1 - 1 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - -1 - -1 - 0 - 1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - 0 - 0 - 0 - -1 - 1 - 1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - -1 - -1 - -1 - -1 - 0 - 0 - 1 - -1 - 0 - 0 - 0 - 0 - 0 - 1 - 1 - 1 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - 0 - 0 - -1 - -1 - -1 - -1 - -1 - 0 - -1 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - 1 - 0 - 0 - -1 - 0 - 0 - 0 - -1 - 0 - 0 - -1 - 0 - 0 - 0 - -1 - 0 - 0 - 0 - 0 - 0 - 0 - 0 - -1 - 0 - -1 - -1 - 0 - 0 - -1 - 1 - 1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - -1 - 25 - 0 - Aurora_8B10B - 9 - 185.7142850 - 1 - 0 - 185.7142850 - true - CORE - NONE - CORE - CORE - EXAMPLE_DESIGN - CORE - EXAMPLE_DESIGN - CORE - false - NAME - false - 250 - false - false - 250 - GTH-Aurora_8B10B - 0 - MULTI - 0 - ENABLE - DISABLE - ENABLE - 00000000 - false - false - false - false - false - false - false - false - 00000000 - false - false - false - false - false - false - false - false - 1 - 00000000 - false - false - false - false - false - false - false - false - 1 - 1 - 0 - 00000000000000000000000000000000000000000000000000000000000000000000000000000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - false - false - false - false - false - false - false - false - 00000000 - DISABLE - false - false - false - false - false - false - false - false - 1 - 00000000 - false - false - false - false - false - false - false - false - 0 - 5000 - ENABLE - 0 - 00000000000000000000000000000000000000000000000000000000000000000000000000000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 00000000 - 2 - false - 1111111111 - true - 1010000011 - K28.5 - true - 0101111100 - false - 0 - AC - 8B10B - true - AUTO - 20 - 2.2281258 - -20 - 3.7142857 - X0Y3 - RXOUTCLKPMA - CPLL - 200 - 0 - - 371.42857 - - OFF - 0 - PROGRAMMABLE - 800 - 16 - 15 - false - 0 - 10.3125 - 257.8125 - 1 - false - CPLL - 185.714285 - 0 - ENABLE - 8B10B - CUSTOM - true - 20 - 3.7142857 - X0Y3 - TXPLLREFCLK_DIV1 - CPLL - 0 - 371.42857 - - 16 - false - 1 - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - true - false - false - true - false - true - false - true - false - true - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - true - true - true - false - false - false - false - false - false - true - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - true - true - true - true - true - true - false - false - false - false - false - false - false - false - false - true - true - false - false - false - false - true - true - false - true - true - true - false - true - true - true - false - false - false - false - false - true - false - true - false - false - false - true - true - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - false - false - true - true - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - true - false - false - false - true - false - true - false - false - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - true - false - false - true - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - true - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - true - false - false - false - false - false - false - false - false - false - true - true - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - false - kintexuplus - - - xcku15p - ffva1156 - VHDL - - MIXED - -2 - - E - TRUE - TRUE - IP_Flow - 13 - TRUE - ../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_fixedlat - - . - 2022.1 - OUT_OF_CONTEXT - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - +{ + "schema": "xilinx.com:schema:json_instance:1.0", + "ip_inst": { + "xci_name": "TimingGth_fixedlat", + "component_reference": "xilinx.com:ip:gtwizard_ultrascale:1.7", + "ip_revision": "15", + "gen_directory": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_fixedlat", + "parameters": { + "component_parameters": { + "GT_TYPE": [ { "value": "GTH", "resolve_type": "user", "usage": "all" } ], + "INTERNAL_GT_PRIM_TYPE": [ { "value": "gthe4", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "GT_REV": [ { "value": "0", "resolve_type": "user", "usage": "all" } ], + "GT_DIRECTION": [ { "value": "BOTH", "resolve_type": "user", "usage": "all" } ], + "RX_ENABLE": [ { "value": "true", "resolve_type": "generated", "format": "bool", "enabled": false, "usage": "all" } ], + "TX_ENABLE": [ { "value": "true", "resolve_type": "generated", "format": "bool", "enabled": false, "usage": "all" } ], + "CHANNEL_ENABLE": [ { "value": "X0Y3", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_MASTER_CHANNEL": [ { "value": "X0Y3", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_MASTER_CHANNEL": [ { "value": "X0Y3", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "INTERNAL_TOTAL_NUM_CHANNELS": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_TOTAL_NUM_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "LOCATE_COMMON": [ { "value": "CORE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "INTERNAL_NUM_COMMONS_CORE": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_NUM_COMMONS_EXAMPLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "enabled": false, "usage": "all" } ], + "INTERNAL_RX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "enabled": false, "usage": "all" } ], + "RX_PPM_OFFSET": [ { "value": "200", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "OOB_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_SSC_PPM": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "INS_LOSS_NYQ": [ { "value": "14", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "PCIE_CORECLK_FREQ": [ { "value": "250", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCIE_USERCLK_FREQ": [ { "value": "250", "resolve_type": "user", "format": "float", "usage": "all" } ], + "TX_LINE_RATE": [ { "value": "3.7142857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "TX_PLL_TYPE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_REFCLK_FREQUENCY": [ { "value": "371.42857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "TX_DATA_ENCODING": [ { "value": "8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_USER_DATA_WIDTH": [ { "value": "16", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_INT_DATA_WIDTH": [ { "value": "20", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_BUFFER_MODE": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "TX_OUTCLK_SOURCE": [ { "value": "TXPLLREFCLK_DIV1", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_DIFF_SWING_EMPH_MODE": [ { "value": "CUSTOM", "resolve_type": "user", "usage": "all" } ], + "RX_LINE_RATE": [ { "value": "3.7142857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RX_PLL_TYPE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_REFCLK_FREQUENCY": [ { "value": "371.42857", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RX_DATA_DECODING": [ { "value": "8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_USER_DATA_WIDTH": [ { "value": "16", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_INT_DATA_WIDTH": [ { "value": "20", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_BUFFER_MODE": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_EQ_MODE": [ { "value": "AUTO", "resolve_type": "user", "usage": "all" } ], + "RX_JTOL_FC": [ { "value": "2.2281258", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "RX_JTOL_LF_SLOPE": [ { "value": "-20", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_OUTCLK_SOURCE": [ { "value": "RXOUTCLKPMA", "resolve_type": "user", "usage": "all" } ], + "SIM_CPLL_CAL_BYPASS": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "PCIE_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_TERMINATION": [ { "value": "PROGRAMMABLE", "resolve_type": "user", "usage": "all" } ], + "RX_TERMINATION_PROG_VALUE": [ { "value": "800", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COUPLING": [ { "value": "AC", "resolve_type": "user", "usage": "all" } ], + "RX_BUFFER_BYPASS_MODE": [ { "value": "MULTI", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_BUFFER_RESET_ON_CB_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_BUFFER_RESET_ON_COMMAALIGN": [ { "value": "DISABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_BUFFER_RESET_ON_RATE_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "TX_BUFFER_RESET_ON_RATE_CHANGE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RESET_SEQUENCE_INTERVAL": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COMMA_PRESET": [ { "value": "K28.5", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_VALID_ONLY": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COMMA_P_ENABLE": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_COMMA_M_ENABLE": [ { "value": "true", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_COMMA_DOUBLE_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_COMMA_P_VAL": [ { "value": "0101111100", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_M_VAL": [ { "value": "1010000011", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_MASK": [ { "value": "1111111111", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_COMMA_ALIGN_WORD": [ { "value": "2", "value_src": "user", "resolve_type": "user", "format": "long", "usage": "all" } ], + "RX_COMMA_SHOW_REALIGN_ENABLE": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "RX_SLIDE_MODE": [ { "value": "OFF", "resolve_type": "user", "usage": "all" } ], + "RX_CB_NUM_SEQ": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_LEN_SEQ": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_MAX_SKEW": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_MAX_LEVEL": [ { "value": "1", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CB_MASK": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_VAL": [ { "value": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_K": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_DISP": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_0": [ { "value": "00000000", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_0": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_1": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_0_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_0": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_1": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_MASK_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_VAL_1_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CB_K_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CB_DISP_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_NUM_SEQ": [ { "value": "0", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_LEN_SEQ": [ { "value": "1", "value_src": "user", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_PERIODICITY": [ { "value": "5000", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_KEEP_IDLE": [ { "value": "DISABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_PRECEDENCE": [ { "value": "ENABLE", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_REPEAT_WAIT": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "RX_CC_MASK": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CC_VAL": [ { "value": "00000000000000000000000000000000000000000000000000000000000000000000000000000000", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_CC_K": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CC_DISP": [ { "value": "00000000", "resolve_type": "generated", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_0": [ { "value": "00000000", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_0": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_1": [ { "value": "00000000", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_1": [ { "value": "false", "value_src": "user", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_0_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_0_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_0": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_0": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_1": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_1": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_2": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_2": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_MASK_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_VAL_1_3": [ { "value": "00000000", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "RX_CC_K_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "RX_CC_DISP_1_3": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "ENABLE_OPTIONAL_PORTS": [ { "value": "cpllrefclksel_in drpaddr_in drpclk_in drpdi_in drpen_in drpwe_in gtgrefclk_in loopback_in rxpolarity_in txinhibit_in txpolarity_in drpdo_out drprdy_out rxdlysresetdone_out rxphaligndone_out rxphalignerr_out rxresetdone_out rxsyncdone_out rxsyncout_out txresetdone_out", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_REFCLK_SOURCE": [ { "value": "", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "TX_REFCLK_SOURCE": [ { "value": "", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "RX_RECCLK_OUTPUT": [ { "value": "", "resolve_type": "user", "usage": "all" } ], + "LOCATE_RESET_CONTROLLER": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_TX_BUFFER_BYPASS_CONTROLLER": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_RX_BUFFER_BYPASS_CONTROLLER": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_IN_SYSTEM_IBERT_CORE": [ { "value": "NONE", "resolve_type": "user", "usage": "all" } ], + "LOCATE_TX_USER_CLOCKING": [ { "value": "EXAMPLE_DESIGN", "resolve_type": "user", "usage": "all" } ], + "LOCATE_RX_USER_CLOCKING": [ { "value": "EXAMPLE_DESIGN", "resolve_type": "user", "usage": "all" } ], + "LOCATE_USER_DATA_WIDTH_SIZING": [ { "value": "CORE", "resolve_type": "user", "usage": "all" } ], + "ORGANIZE_PORTS_BY": [ { "value": "NAME", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "PRESET": [ { "value": "GTH-Aurora_8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "INTERNAL_PRESET": [ { "value": "Aurora_8B10B", "value_src": "user", "resolve_type": "user", "usage": "all" } ], + "INTERNAL_PORT_USAGE_UPDATED": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLEMENT_UPDATED": [ { "value": "25", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_CHANNEL_SITES_UPDATED": [ { "value": "7", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_CHANNEL_COLUMN_LOC_MAX": [ { "value": "96", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_RX_COMMA_PRESET_UPDATE": [ { "value": "9", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_UPDATE_IP_SYMBOL_drpclk_in": [ { "value": "true", "resolve_type": "generated", "format": "bool", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_LINE_RATE": [ { "value": "10.3125", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_FRACN_NUMERATOR": [ { "value": "0", "resolve_type": "user", "format": "long", "enabled": false, "usage": "all" } ], + "SECONDARY_QPLL_REFCLK_FREQUENCY": [ { "value": "257.8125", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ], + "TXPROGDIV_FREQ_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "enabled": false, "usage": "all" } ], + "TXPROGDIV_FREQ_SOURCE": [ { "value": "CPLL", "value_src": "user", "resolve_type": "user", "enabled": false, "usage": "all" } ], + "TXPROGDIV_FREQ_VAL": [ { "value": "185.714285", "value_src": "user", "resolve_type": "user", "format": "float", "enabled": false, "usage": "all" } ], + "SATA_TX_BURST_LEN": [ { "value": "15", "resolve_type": "user", "format": "long", "usage": "all" } ], + "FREERUN_FREQUENCY": [ { "value": "156.25", "value_src": "user", "resolve_type": "user", "format": "float", "usage": "all" } ], + "INCLUDE_CPLL_CAL": [ { "value": "2", "resolve_type": "user", "format": "long", "usage": "all" } ], + "USER_GTPOWERGOOD_DELAY_EN": [ { "value": "1", "resolve_type": "user", "format": "long", "usage": "all" } ], + "DISABLE_LOC_XDC": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "ENABLE_COMMON_USRCLK": [ { "value": "0", "resolve_type": "user", "format": "long", "usage": "all" } ], + "USB_ENABLE": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PCIE_64BIT": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "PCIE_GEN4_EIOS": [ { "value": "false", "resolve_type": "user", "format": "bool", "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_RESET_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_ACTIVE_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_SRCCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_USRCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_USRCLK2_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_TX_ACTIVE_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_ACTIVE_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_SRCCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_USRCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_USRCLK2_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERCLK_RX_ACTIVE_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_RESET_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_START_USER_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_TX_ERROR_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_RESET_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_START_USER_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_BUFFBYPASS_RX_ERROR_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_CLK_FREERUN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_ALL_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_PLL_AND_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_PLL_AND_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_DATAPATH_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_DONE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_DONE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL0LOCK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL1LOCK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_CDR_STABLE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_TX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_RX_DONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL0RESET_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_RESET_QPLL1RESET_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE3_CPLL_CAL_TXOUTCLK_PERIOD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE3_CPLL_CAL_CNT_TOL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE3_CPLL_CAL_BUFG_CE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE4_CPLL_CAL_TXOUTCLK_PERIOD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE4_CPLL_CAL_CNT_TOL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTHE4_CPLL_CAL_BUFG_CE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTYE4_CPLL_CAL_TXOUTCLK_PERIOD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTYE4_CPLL_CAL_CNT_TOL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_GTYE4_CPLL_CAL_BUFG_CE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERDATA_TX_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTWIZ_USERDATA_RX_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGBYPASSB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGMONITORENB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGPDB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGRCALOVRD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BGRCALOVRDENB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPADDR_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPCLK_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDI_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPEN_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPWE_COMMON_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTGREFCLK0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTGREFCLK1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK00_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK01_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK10_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK11_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK00_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK01_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK10_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK11_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK00_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK01_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK10_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK11_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLL0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLL1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVD0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0CLKRSVD0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0CLKRSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0FBDIV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0LOCKDETCLK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0LOCKEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0PD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0REFCLKSEL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1CLKRSVD0_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1CLKRSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1FBDIV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1LOCKDETCLK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1LOCKEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1PD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1REFCLKSEL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD2_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD3_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLRSVD4_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RCALENB_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0DATA_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0TOGGLE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0WIDTH_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1DATA_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1RESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1TOGGLE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1WIDTH_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONGPI_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONPOWERUP_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONRSVDIN1_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBCFGSTREAMEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDO_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDRDY_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBENABLE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBGPI_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBINTR_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBIOLMBRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMBRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMCAPTURE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMDBGRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMDBGUPDATE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMREGEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMSHIFT_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMSYSRST_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMTCK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMTDI_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDO_COMMON_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPRDY_COMMON_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVDOUT0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVDOUT1_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0FBCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0LOCK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0OUTCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0OUTREFCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0REFCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1FBCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1LOCK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1OUTCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1OUTREFCLK_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1REFCLKLOST_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLDMONITOR0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLLDMONITOR1_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_REFCLKOUTMONITOR0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_REFCLKOUTMONITOR1_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK0_SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK1_SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK0SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLK1SEL_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0FINALOUT_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM0TESTDATA_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1FINALOUT_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SDM1TESTDATA_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONGPO_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TCONRSVDOUT0_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDADDR_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDEN_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDI_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBDWE_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBMDMTDO_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBRSVDOUT_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_UBTXUART_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CDRSTEPDIR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CDRSTEPSQ_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CDRSTEPSX_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CFGRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CLKRSVD0_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CLKRSVD1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLFREQLOCK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLLOCKDETCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLLOCKEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLREFCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONFIFORESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONITORCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPADDR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDI_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPRST_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPWE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_ELPCALDVORWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_ELPCALPAORWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHICALDONE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHICALSTART_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIDRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIDWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIXRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EVODDPHIXWREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANMODE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANTRIGGER_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_FREQOS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTGREFCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHRXN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHRXP_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK0_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTNORTHREFCLK1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK0_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLK1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRESETSEL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRSVD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRXRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTRXRESETSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK0_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTSOUTHREFCLK1_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTTXRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTTXRESETSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_INCPCTRL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYRXN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYRXP_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LOOPBACK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LOOPRSVD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LPBKRXTXSEREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_LPBKTXRXSEREN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEEQRXEQADAPTDONE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERSTIDLE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERSTTXSYNCSTART_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERRATEDONE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCSRSVDIN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCSRSVDIN2_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PMARSVDIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0CLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0FREQLOCK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL0REFCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1CLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1FREQLOCK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_QPLL1REFCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RESETOVRD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RSTCLKENTX_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RX8B10BEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXAFECFOKEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBUFRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRFREQRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDROVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRRESETRSV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDI_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDLEVEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDMASTER_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDSLAVE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCKCALRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCKCALSTART_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMMADETEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEAGCCTRL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDCCFORCESTART_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEAGCHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEAGCOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKFCNUM_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKFEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKFPULSE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFECFOKOVREN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEKHHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEKHOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFELFHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFELFOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFELPMRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP10HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP10OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP11HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP11OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP12HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP12OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP13HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP13OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP14HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP14OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP15HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP15OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP2HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP2OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP3HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP3OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP4HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP4OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP5HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP5OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP6HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP6OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP7HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP7OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP8HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP8OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP9HOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFETAP9OVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEUTHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEUTOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEVPHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEVPOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEVSEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDFEXYDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYBYPASS_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYSRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXELECIDLEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXEQTRAINING_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXGEARBOXSLIP_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLATCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMGCHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMGCOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMHFHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMHFOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMLFHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMLFKLOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMOSHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLPMOSOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXMCOMMAALIGNEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXMONITORSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOOBRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSCALRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTCFG_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTHOLD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTROBE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTTESTOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPCOMMAALIGNEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPCSRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGNEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHDLYPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHDLYRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPLLCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPMARESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPOLARITY_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSCNTRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPROGDIVRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXQPIEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRATE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRATEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIDE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPOUTCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPPMA_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCALLIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCMODE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYSCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXTERMINATION_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXUSERRDY_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXUSRCLK_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXUSRCLK2_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_SIGVALIDCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TSTIN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TX8B10BBYPASS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TX8B10BEN_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXBUFDIFFCTRL_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMINIT_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMSAS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMWAKE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCTRL0_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCTRL1_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCTRL2_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDATA_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDATAEXTENDRSVD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDCCFORCESTART_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDCCRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDEEMPH_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDETECTRX_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDIFFCTRL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDIFFPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYBYPASS_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYHOLD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYSRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYUPDOWN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXELECIDLE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXELFORCESTART_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXHEADER_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXINHIBIT_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLATCLK_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLFPSTRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLFPSU2LPEXIT_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXLFPSU3WAKE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMAINCURSOR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMARGIN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMUXDCDEXHOLD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXMUXDCDORWREN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXONESZEROS_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPCSRESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPDELECIDLEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHALIGN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHALIGNEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHDLYPD_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHDLYRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHDLYTSTCLK_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHINIT_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHOVRDEN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMOVRDEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPIPPMSTEPSIZE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPISOPD_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPLLCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPMARESET_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPOLARITY_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPOSTCURSOR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPOSTCURSORINV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRBSFORCEERR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRBSSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRECURSOR_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRECURSORINV_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPROGDIVRESET_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPIBIASEN_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPISTRONGPDOWN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPIWEAKPUP_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRATE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRATEMODE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSEQUENCE_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSWING_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCALLIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCIN_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCMODE_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYSCLKSEL_IN": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXUSERRDY_IN": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXUSRCLK_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXUSRCLK2_IN": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTCE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTCEMASK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTDIV_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTRESET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_BUFGTRSTMASK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLFBCLKLOST_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLLOCK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_CPLLREFCLKLOST_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONITOROUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DMONITOROUTCLK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPDO_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_DRPRDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_EYESCANDATAERROR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHTXN_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTHTXP_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTPOWERGOOD_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTREFCLKMONITOR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYTXN_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_GTYTXP_OUT": [ { "value": "-1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEGEN3_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEIDLE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLLPD_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIERATEQPLLRESET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIESYNCTXSYNCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERGEN3RDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERPHYSTATUSRST_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCIEUSERRATESTART_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PCSRSVDOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PHYSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_PINRSRVDAS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_POWERPRESENT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RESETEXCEPTION_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBUFSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBYTEISALIGNED_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXBYTEREALIGN_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRLOCK_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCDRPHDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHANBONDSEQ_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHANISALIGNED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHANREALIGN_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCHBONDO_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCKCALDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCLKCORCNT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMINITDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMMADET_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMSASDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCOMWAKEDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL0_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL1_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL2_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXCTRL3_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDATA_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDATAEXTENDRSVD_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDATAVALID_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXDLYSRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXELECIDLE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXHEADER_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXHEADERVALID_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLFPSTRESETDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLFPSU2LPEXITDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXLFPSU3WAKEDET_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXMONITOROUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTARTED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTROBEDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOSINTSTROBESTARTED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLK_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLKFABRIC_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXOUTCLKPCS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGNDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPHALIGNERR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPMARESETDONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSERR_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRBSLOCKED_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXPRGDIVRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXQPISENN_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXQPISENP_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRATEDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRECCLKOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIDERDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPOUTCLKRDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSLIPPMARDY_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSTARTOFSEQ_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXSYNCOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_RXVALID_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXBUFSTATUS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXCOMFINISH_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDCCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXDLYSRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLK_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLKFABRIC_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXOUTCLKPCS_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHALIGNDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPHINITDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPMARESETDONE_OUT": [ { "value": "1", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXPRGDIVRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPISENN_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXQPISENP_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRATEDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXRESETDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCDONE_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "INTERNAL_PORT_ENABLED_TXSYNCOUT_OUT": [ { "value": "0", "resolve_type": "generated", "format": "long", "enabled": false, "usage": "all" } ], + "Component_Name": [ { "value": "TimingGth_fixedlat", "resolve_type": "user", "usage": "all" } ] + }, + "model_parameters": { + "C_CHANNEL_ENABLE": [ { "value": "\"000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_PCIE_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_PCIE_CORECLK_FREQ": [ { "value": "250", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_COMMON_SCALING_FACTOR": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_CPLL_VCO_FREQUENCY": [ { "value": "3714.2857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_FORCE_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_FREERUN_FREQUENCY": [ { "value": "156.25", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_GT_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_GT_REV": [ { "value": "57", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_INCLUDE_CPLL_CAL": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_ENABLE_COMMON_USRCLK": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_USER_GTPOWERGOOD_DELAY_EN": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SIM_CPLL_CAL_BYPASS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_COMMON": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_RESET_CONTROLLER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_USER_DATA_WIDTH_SIZING": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_IN_SYSTEM_IBERT_CORE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_RX_USER_CLOCKING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_LOCATE_TX_USER_CLOCKING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RESET_CONTROLLER_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_BUFFBYPASS_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_BUFFER_BYPASS_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_BUFFER_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_DISP": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CB_K": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CB_MAX_LEVEL": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_LEN_SEQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_NUM_SEQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CB_VAL": [ { "value": "\"00000000000000000000000000000000000000000000000000000000000000000000000000000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CC_DISP": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CC_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RESET_SEQUENCE_INTERVAL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_K": [ { "value": "\"00000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_CC_LEN_SEQ": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_NUM_SEQ": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_PERIODICITY": [ { "value": "5000", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_CC_VAL": [ { "value": "\"00000000000000000000000000000000000000000000000000000000000000000000000000000000\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_COMMA_M_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_COMMA_M_VAL": [ { "value": "\"1010000011\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_COMMA_P_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_COMMA_P_VAL": [ { "value": "\"0101111100\"", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_DATA_DECODING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_LINE_RATE": [ { "value": "3.7142857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_MASTER_CHANNEL_IDX": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_OUTCLK_BUFG_GT_DIV": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_OUTCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_OUTCLK_SOURCE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_RECCLK_OUTPUT": [ { "value": "0x000000000000000000000000000000000000000000000000", "resolve_type": "generated", "format": "bitString", "usage": "all" } ], + "C_RX_REFCLK_FREQUENCY": [ { "value": "371.42857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_SLIDE_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_CONTENTS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_CLOCKING_SOURCE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USER_DATA_WIDTH": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_RX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_RX_USRCLK2_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_SECONDARY_QPLL_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_SECONDARY_QPLL_REFCLK_FREQUENCY": [ { "value": "257.8125", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TOTAL_NUM_CHANNELS": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TOTAL_NUM_COMMONS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TOTAL_NUM_COMMONS_EXAMPLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TXPROGDIV_FREQ_ENABLE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TXPROGDIV_FREQ_SOURCE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TXPROGDIV_FREQ_VAL": [ { "value": "185.714285", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_BUFFBYPASS_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_BUFFER_BYPASS_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_BUFFER_MODE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_DATA_ENCODING": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_ENABLE": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_INT_DATA_WIDTH": [ { "value": "20", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_LINE_RATE": [ { "value": "3.7142857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_MASTER_CHANNEL_IDX": [ { "value": "3", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_OUTCLK_BUFG_GT_DIV": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_OUTCLK_FREQUENCY": [ { "value": "371.4285700", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_OUTCLK_SOURCE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_PLL_TYPE": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_REFCLK_FREQUENCY": [ { "value": "371.42857", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_USER_CLOCKING_CONTENTS": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_INSTANCE_CTRL": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK": [ { "value": "2", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2": [ { "value": "1", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_CLOCKING_SOURCE": [ { "value": "0", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USER_DATA_WIDTH": [ { "value": "16", "resolve_type": "generated", "format": "long", "usage": "all" } ], + "C_TX_USRCLK_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ], + "C_TX_USRCLK2_FREQUENCY": [ { "value": "185.7142850", "resolve_type": "generated", "format": "float", "usage": "all" } ] + }, + "project_parameters": { + "ARCHITECTURE": [ { "value": "kintexuplus" } ], + "BASE_BOARD_PART": [ { "value": "" } ], + "BOARD_CONNECTIONS": [ { "value": "" } ], + "DEVICE": [ { "value": "xcku15p" } ], + "PACKAGE": [ { "value": "ffva1156" } ], + "PREFHDL": [ { "value": "VHDL" } ], + "SILICON_REVISION": [ { "value": "" } ], + "SIMULATOR_LANGUAGE": [ { "value": "MIXED" } ], + "SPEEDGRADE": [ { "value": "-2" } ], + "STATIC_POWER": [ { "value": "" } ], + "TEMPERATURE_GRADE": [ { "value": "E" } ], + "USE_RDI_CUSTOMIZATION": [ { "value": "TRUE" } ], + "USE_RDI_GENERATION": [ { "value": "TRUE" } ] + }, + "runtime_parameters": { + "IPCONTEXT": [ { "value": "IP_Flow" } ], + "IPREVISION": [ { "value": "15" } ], + "MANAGED": [ { "value": "TRUE" } ], + "OUTPUTDIR": [ { "value": "../../../../EPixHR10k2M_project.gen/sources_1/ip/TimingGth_fixedlat" } ], + "SELECTEDSIMMODEL": [ { "value": "" } ], + "SHAREDDIR": [ { "value": "." } ], + "SWVERSION": [ { "value": "2022.2" } ], + "SYNTHESISFLOW": [ { "value": "OUT_OF_CONTEXT" } ] + } + }, + "boundary": { + "ports": { + "gtwiz_userclk_tx_reset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userclk_tx_active_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userclk_rx_active_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_reset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_start_user_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_tx_error_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_reset_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_start_user_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_buffbypass_rx_error_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_clk_freerun_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_all_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_tx_pll_and_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_tx_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_pll_and_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_datapath_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_cdr_stable_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_tx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_reset_rx_done_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userdata_tx_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "gtwiz_userdata_rx_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "cpllrefclksel_in": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "drpaddr_in": [ { "direction": "in", "size_left": "9", "size_right": "0", "driver_value": "0" } ], + "drpclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "drpdi_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "drpen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "drpwe_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtgrefclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthrxn_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthrxp_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtrefclk0_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "loopback_in": [ { "direction": "in", "size_left": "2", "size_right": "0", "driver_value": "0" } ], + "rx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxcommadeten_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxmcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxpcommaalignen_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxusrclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxusrclk2_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "tx8b10ben_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txctrl0_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "txctrl1_in": [ { "direction": "in", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "txctrl2_in": [ { "direction": "in", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "txinhibit_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txpolarity_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txusrclk_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txusrclk2_in": [ { "direction": "in", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "drpdo_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "drprdy_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthtxn_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gthtxp_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "gtpowergood_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxbyteisaligned_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxbyterealign_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxcommadet_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxctrl0_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "rxctrl1_out": [ { "direction": "out", "size_left": "15", "size_right": "0", "driver_value": "0" } ], + "rxctrl2_out": [ { "direction": "out", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "rxctrl3_out": [ { "direction": "out", "size_left": "7", "size_right": "0", "driver_value": "0" } ], + "rxdlysresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxoutclk_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxphaligndone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxphalignerr_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxpmaresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxsyncdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "rxsyncout_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txoutclk_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txpmaresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ], + "txresetdone_out": [ { "direction": "out", "size_left": "0", "size_right": "0", "driver_value": "0" } ] + } + } + } +} diff --git a/LCLS-II/gthUltraScale+/rtl/TimingGthCoreWrapper.vhd b/LCLS-II/gthUltraScale+/rtl/TimingGthCoreWrapper.vhd index 64af1e6b..82534303 100644 --- a/LCLS-II/gthUltraScale+/rtl/TimingGthCoreWrapper.vhd +++ b/LCLS-II/gthUltraScale+/rtl/TimingGthCoreWrapper.vhd @@ -81,6 +81,7 @@ entity TimingGthCoreWrapper is txOutClk : out sl; loopback : in slv(2 downto 0)); + end entity TimingGthCoreWrapper; architecture rtl of TimingGthCoreWrapper is @@ -473,7 +474,8 @@ begin O => rxoutclkb); end generate; - LOCREF_G : if (DISABLE_TIME_GT_G = false) and (EXTREF_G = false)generate + LOCREF_G : if (DISABLE_TIME_GT_G = false) and (EXTREF_G = false) generate + U_TimingGthCore : TimingGth_fixedlat port map ( gtwiz_userclk_tx_reset_in(0) => txbypassrst, diff --git a/LCLS-II/gthUltraScale+/ruckus.tcl b/LCLS-II/gthUltraScale+/ruckus.tcl index a6fca103..4635e03c 100644 --- a/LCLS-II/gthUltraScale+/ruckus.tcl +++ b/LCLS-II/gthUltraScale+/ruckus.tcl @@ -1,16 +1,14 @@ # Load RUCKUS environment and library source -quiet $::env(RUCKUS_DIR)/vivado_proc.tcl -if { $::env(VIVADO_VERSION) >= 2021.2 } { - +if { $::env(VIVADO_VERSION) >= 2022.2} { loadSource -lib lcls_timing_core -dir "$::DIR_PATH/rtl" loadSource -lib lcls_timing_core -path "$::DIR_PATH/coregen/TimingGth_extref.dcp" - # loadIpCore -path "$::DIR_PATH/coregen/TimingGth_extref.xci" + #loadIpCore -path "$::DIR_PATH/coregen/TimingGth_extref.xci" loadSource -lib lcls_timing_core -path "$::DIR_PATH/coregen/TimingGth_fixedlat.dcp" - # loadIpCore -path "$::DIR_PATH/coregen/TimingGth_fixedlat.xci" - + #loadIpCore -path "$::DIR_PATH/coregen/TimingGth_fixedlat.xci" } else { - puts "\n\nWARNING: $::DIR_PATH requires Vivado 2021.2 (or later)\n\n" + puts "\n\nWARNING: $::DIR_PATH requires Vivado 2022.2 (or later)\n\n" } diff --git a/python/LclsTimingCore/GthRxAlignCheck.py b/python/LclsTimingCore/GthRxAlignCheck.py index 9fae0a4a..51b45617 100644 --- a/python/LclsTimingCore/GthRxAlignCheck.py +++ b/python/LclsTimingCore/GthRxAlignCheck.py @@ -61,6 +61,16 @@ def __init__( self, mode = "RW", )) + + self.add(pr.RemoteVariable( + name = "Mask", + description = "Mask", + offset = 0x100, + bitSize = 7, + bitOffset = 8, + mode = "RW", + )) + self.add(pr.RemoteVariable( name = "ResetLen", description = "Reset length",