From 0d4de527602c7c124f5fb9afcf7d22fbd3545644 Mon Sep 17 00:00:00 2001 From: Ryszard Rozak Date: Thu, 26 Oct 2023 15:08:45 +0200 Subject: [PATCH] Comment out rand specifiers Signed-off-by: Ryszard Rozak --- test_regress/t/t_uvm_pkg_todo.vh | 20 +++++++++++++++----- 1 file changed, 15 insertions(+), 5 deletions(-) diff --git a/test_regress/t/t_uvm_pkg_todo.vh b/test_regress/t/t_uvm_pkg_todo.vh index edaf36b079..1e83c2e2fa 100644 --- a/test_regress/t/t_uvm_pkg_todo.vh +++ b/test_regress/t/t_uvm_pkg_todo.vh @@ -21078,15 +21078,21 @@ typedef class uvm_tlm_extension_base; class uvm_tlm_generic_payload extends uvm_sequence_item; rand bit [63:0] m_address; rand uvm_tlm_command_e m_command; - rand byte unsigned m_data[]; + //TODO issue-4625 - Rand fields of dynamic array types + //TODO %Error-UNSUPPORTED: t/t_uvm_pkg_todo.vh:21081:35: Unsupported: random member variable with type 'byte[]' + /*TODO rand*/ byte unsigned m_data[]; rand int unsigned m_length; rand uvm_tlm_response_status_e m_response_status; bit m_dmi; - rand byte unsigned m_byte_enable[]; + //TODO issue-4625 - Rand fields of dynamic array types + //TODO %Error-UNSUPPORTED: t/t_uvm_pkg_todo.vh:21081:35: Unsupported: random member variable with type 'byte[]' + /*TODO rand*/ byte unsigned m_byte_enable[]; rand int unsigned m_byte_enable_length; rand int unsigned m_streaming_width; protected uvm_tlm_extension_base m_extensions [uvm_tlm_extension_base]; - local rand uvm_tlm_extension_base m_rand_exts[]; + //TODO issue-4625 - Rand fields of dynamic array types + //TODO %Error-UNSUPPORTED: t/t_uvm_pkg_todo.vh:21081:35: Unsupported: random member variable with type 'CLASSREFDTYPE 'uvm_tlm_extension_base'[]' + local /*rand*/ uvm_tlm_extension_base m_rand_exts[]; typedef uvm_object_registry#(uvm_tlm_generic_payload,"uvm_tlm_generic_payload") type_id; static function uvm_tlm_generic_payload type_id_create (string name="", uvm_component parent=null, @@ -22450,7 +22456,9 @@ class uvm_reg_item extends uvm_sequence_item; uvm_elem_kind_e element_kind; uvm_object element; rand uvm_access_e kind; - rand uvm_reg_data_t value[]; + //TODO issue-4625 - Rand fields of dynamic array types + //TODO %Error-UNSUPPORTED: t/t_uvm_pkg_todo.vh:21081:35: Unsupported: random member variable with type 'bit[]' + /*rand*/ uvm_reg_data_t value[]; constraint max_values { value.size() > 0 && value.size() < 1000; } rand uvm_reg_addr_t offset; uvm_status_e status; @@ -26870,7 +26878,9 @@ class uvm_reg_fifo extends uvm_reg; local uvm_reg_field value; local int m_set_cnt; local int unsigned m_size; - rand uvm_reg_data_t fifo[$]; + //TODO issue-4625 - Rand fields of dynamic array types + //TODO %Error-UNSUPPORTED: t/t_uvm_pkg_todo.vh:21081:35: Unsupported: random member variable with type 'bit[$]' + /*rand*/ uvm_reg_data_t fifo[$]; constraint valid_fifo_size { fifo.size() <= m_size; }