diff --git a/APIntUtils_8h.html b/APIntUtils_8h.html index 97c24e15..db59dc77 100644 --- a/APIntUtils_8h.html +++ b/APIntUtils_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/APIntUtils.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -111,7 +124,7 @@ - + @@ -126,16 +139,16 @@ - + - + - + - + @@ -144,13 +157,13 @@ - + - + - + @@ -161,7 +174,7 @@ - + @@ -172,9 +185,9 @@ - + - + @@ -184,11 +197,11 @@ - + - + - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
namespace  systemc_clang::utils
namespace  systemc_clang::utils
 
namespace  systemc_clang::utils::apint
namespace  systemc_clang::utils::apint
 

@@ -200,7 +213,8 @@ + diff --git a/APIntUtils_8h__dep__incl.map b/APIntUtils_8h__dep__incl.map index b4d15dad..5f44de01 100644 --- a/APIntUtils_8h__dep__incl.map +++ b/APIntUtils_8h__dep__incl.map @@ -6,7 +6,7 @@ - + @@ -21,16 +21,16 @@ - + - + - + - + @@ -39,13 +39,13 @@ - + - + - + @@ -56,7 +56,7 @@ - + @@ -67,9 +67,9 @@ - + - + diff --git a/APIntUtils_8h__dep__incl.png b/APIntUtils_8h__dep__incl.png index 291f8fd3..d4882ba0 100644 Binary files a/APIntUtils_8h__dep__incl.png and b/APIntUtils_8h__dep__incl.png differ diff --git a/APIntUtils_8h_source.html b/APIntUtils_8h_source.html index 41157065..34ff1087 100644 --- a/APIntUtils_8h_source.html +++ b/APIntUtils_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/APIntUtils.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
APIntUtils.h
@@ -119,7 +127,8 @@
+ diff --git a/ArrayTypeUtils_8cpp.html b/ArrayTypeUtils_8cpp.html index 37f8b1c5..b61449b5 100644 --- a/ArrayTypeUtils_8cpp.html +++ b/ArrayTypeUtils_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/ArrayTypeUtils.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -105,7 +118,7 @@ - +
@@ -114,11 +127,11 @@ - + - + - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
namespace  sc_ast_matchers::utils
namespace  sc_ast_matchers::utils
 
namespace  sc_ast_matchers::utils::array_type
namespace  sc_ast_matchers::utils::array_type
 

@@ -135,7 +148,8 @@ + diff --git a/ArrayTypeUtils_8cpp__incl.map b/ArrayTypeUtils_8cpp__incl.map index 08f56657..d2bd0d1e 100644 --- a/ArrayTypeUtils_8cpp__incl.map +++ b/ArrayTypeUtils_8cpp__incl.map @@ -12,6 +12,6 @@ - + diff --git a/ArrayTypeUtils_8cpp_source.html b/ArrayTypeUtils_8cpp_source.html index c543b27f..c5ed8d31 100644 --- a/ArrayTypeUtils_8cpp_source.html +++ b/ArrayTypeUtils_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/ArrayTypeUtils.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
ArrayTypeUtils.cpp
@@ -165,7 +173,7 @@
80 peel->getArg(0)->IgnoreImplicit());
81 }
82 llvm::dbgs() << "unwrap 2d dump\n";
-
83 cexpr->dump();
+
83 //cexpr->dump();
84
85 if (cexpr) { // auto cexpr =
86 // clang::dyn_cast<clang::CXXConstructExpr>(iexpr_2d)) {
@@ -330,7 +338,8 @@
+ diff --git a/ArrayTypeUtils_8h.html b/ArrayTypeUtils_8h.html index eee357a8..4188bc80 100644 --- a/ArrayTypeUtils_8h.html +++ b/ArrayTypeUtils_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/ArrayTypeUtils.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -91,10 +104,10 @@
- + - +
@@ -104,104 +117,104 @@
- - - + + + - - - + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - + + + + + + - + - + - + - - - - - - + + + + + + - + - + @@ -211,14 +224,14 @@ - + - + - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
namespace  sc_ast_matchers::utils
namespace  sc_ast_matchers::utils
 
namespace  sc_ast_matchers::utils::array_type
namespace  sc_ast_matchers::utils::array_type
 

@@ -268,7 +281,8 @@

+ diff --git a/ArrayTypeUtils_8h__dep__incl.map b/ArrayTypeUtils_8h__dep__incl.map index 6933aa0c..11c974dd 100644 --- a/ArrayTypeUtils_8h__dep__incl.map +++ b/ArrayTypeUtils_8h__dep__incl.map @@ -1,103 +1,103 @@ - - - + + + - - - + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - + + + + + + - + - + - + - - - - - - + + + + + + - + - + diff --git a/ArrayTypeUtils_8h__dep__incl.png b/ArrayTypeUtils_8h__dep__incl.png index 5b3b93be..86c39789 100644 Binary files a/ArrayTypeUtils_8h__dep__incl.png and b/ArrayTypeUtils_8h__dep__incl.png differ diff --git a/ArrayTypeUtils_8h__incl.map b/ArrayTypeUtils_8h__incl.map index 6e9581a1..48e8e57b 100644 --- a/ArrayTypeUtils_8h__incl.map +++ b/ArrayTypeUtils_8h__incl.map @@ -1,7 +1,7 @@ - + - + diff --git a/ArrayTypeUtils_8h_source.html b/ArrayTypeUtils_8h_source.html index 25710b53..567ae382 100644 --- a/ArrayTypeUtils_8h_source.html +++ b/ArrayTypeUtils_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/ArrayTypeUtils.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
ArrayTypeUtils.h
@@ -143,7 +151,8 @@
+ diff --git a/Automata_8cpp.html b/Automata_8cpp.html index 63478cff..7cd06431 100644 --- a/Automata_8cpp.html +++ b/Automata_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Automata.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
Automata.cpp File Reference
@@ -96,13 +109,13 @@ - + - + @@ -117,7 +130,8 @@
+ diff --git a/Automata_8cpp__incl.map b/Automata_8cpp__incl.map index 9f4aa5b9..1c967a92 100644 --- a/Automata_8cpp__incl.map +++ b/Automata_8cpp__incl.map @@ -10,13 +10,13 @@ - + - + diff --git a/Automata_8cpp_source.html b/Automata_8cpp_source.html index c1d659af..db7be883 100644 --- a/Automata_8cpp_source.html +++ b/Automata_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Automata.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
Automata.cpp
@@ -97,14 +105,14 @@
12
-
14 _succs.insert(Node::connectPairType(s->getId(), s));
+
14 _succs.insert(Node::connectPairType(s->getId(), s));
15 s->addPredecessor(this);
16}
17
-
19 _preds.insert(Node::connectPairType(p->getId(), p));
+
19 _preds.insert(Node::connectPairType(p->getId(), p));
20}
21
@@ -158,7 +166,7 @@
65Edge::Edge(Node *f, Node *t, int i) : _id(i), _from(f), _to(t) {}
66
- +
68 _timeAdvanceVector.push_back(timePair);
69}
@@ -176,7 +184,7 @@
79int Edge::getFromId() { return _from->getId(); }
80
- +
82 return _timeAdvanceVector;
83}
@@ -188,7 +196,7 @@
90Node *Graph::addNode() {
91 Node *n = new Node(_nNodes);
92
-
93 _nodeMap.insert(Graph::nodePairType(_nNodes, n));
+
93 _nodeMap.insert(Graph::nodePairType(_nNodes, n));
94 _nodeVector.push_back(n);
95 _nodeIDVector.push_back(_nNodes);
96 ++_nNodes;
@@ -200,7 +208,7 @@
100Node *Graph::addNode(int id) {
101 Node *n = new Node(id);
102
-
103 _nodeMap.insert(Graph::nodePairType(id, n));
+
103 _nodeMap.insert(Graph::nodePairType(id, n));
104 _nodeVector.push_back(n);
105 _nodeIDVector.push_back(id);
106 ++_nNodes;
@@ -212,7 +220,7 @@
110Edge *Graph::addEdge(Node *f, Node *t) {
111 Edge *e = new Edge(f, t, _nEdges);
112
-
113 _edgeMap.insert(Graph::edgePairType(_nEdges, e));
+
113 _edgeMap.insert(Graph::edgePairType(_nEdges, e));
114
115 // Update preds and succs in nodes.
116 f->addSuccessor(t);
@@ -220,7 +228,7 @@
118
119 // Insert in adjacency list.
120 _adjList.insert(
-
121 Graph::adjPairType(Graph::twoNodePairType(f->getId(), t->getId()), e));
+
121 Graph::adjPairType(Graph::twoNodePairType(f->getId(), t->getId()), e));
122 return e;
123}
@@ -234,7 +242,7 @@
130 f = nodeFound->second;
131 } else {
132 f = new Node(fID);
-
133 _nodeMap.insert(nodePairType(fID, f));
+
133 _nodeMap.insert(nodePairType(fID, f));
134 _nodeVector.push_back(f);
135 _nodeIDVector.push_back(fID);
136 _nNodes++;
@@ -244,14 +252,14 @@
140 t = nodeFound->second;
141 } else {
142 t = new Node(tID);
-
143 _nodeMap.insert(nodePairType(tID, t));
+
143 _nodeMap.insert(nodePairType(tID, t));
144 _nodeVector.push_back(t);
145 _nodeIDVector.push_back(tID);
146 _nNodes++;
147 }
148 Edge *e = new Edge(f, t, _nEdges);
149
-
150 _edgeMap.insert(Graph::edgePairType(_nEdges, e));
+
150 _edgeMap.insert(Graph::edgePairType(_nEdges, e));
151
152 // Update preds and succs in nodes.
153 f->addSuccessor(t);
@@ -259,7 +267,7 @@
155
156 // Insert in adjacency list.
157 _adjList.insert(
-
158 Graph::adjPairType(Graph::twoNodePairType(f->getId(), t->getId()), e));
+
158 Graph::adjPairType(Graph::twoNodePairType(f->getId(), t->getId()), e));
159 return e;
160}
@@ -290,10 +298,10 @@
181
182int Graph::getEdgeID(Node *f, Node *t) {
-
183 if (_adjList.find(twoNodePairType(f->getId(), t->getId())) !=
+
183 if (_adjList.find(twoNodePairType(f->getId(), t->getId())) !=
184 _adjList.end()) {
185 adjMapType::iterator edgeFound =
-
186 _adjList.find(twoNodePairType(f->getId(), t->getId()));
+
186 _adjList.find(twoNodePairType(f->getId(), t->getId()));
187 return getEdgeID(edgeFound->second);
188 }
189 return -1;
@@ -302,8 +310,8 @@
191
192int Graph::getEdgeID(int fID, int tID) {
-
193 if (_adjList.find(twoNodePairType(fID, tID)) != _adjList.end()) {
-
194 adjMapType::iterator edgeFound = _adjList.find(twoNodePairType(fID, tID));
+
193 if (_adjList.find(twoNodePairType(fID, tID)) != _adjList.end()) {
+
194 adjMapType::iterator edgeFound = _adjList.find(twoNodePairType(fID, tID));
195 return getEdgeID(edgeFound->second);
196 }
197 return -1;
@@ -312,7 +320,7 @@
199
200Edge *Graph::getEdge(int f, int t) {
-
201 Graph::adjMapType::iterator fit = _adjList.find(Graph::twoNodePairType(f, t));
+
201 Graph::adjMapType::iterator fit = _adjList.find(Graph::twoNodePairType(f, t));
202 if (fit == _adjList.end()) {
203 return NULL;
204 }
@@ -323,7 +331,7 @@
209 Graph::adjMapType::iterator fit =
-
210 _adjList.find(Graph::twoNodePairType(f->getId(), t->getId()));
+
210 _adjList.find(Graph::twoNodePairType(f->getId(), t->getId()));
211 if (fit == _adjList.end()) {
212 return NULL;
213 }
@@ -347,7 +355,7 @@
227 vector<Edge *> edges;
228 for (adjMapType::iterator it = _adjList.begin(), eit = _adjList.end();
229 it != eit; it++) {
-
230 twoNodePairType nodePair = it->first;
+
230 twoNodePairType nodePair = it->first;
231
232 if (nodePair.first == sourceID) {
233 edges.push_back(it->second);
@@ -362,7 +370,7 @@
240 vector<Edge *> edges;
241 for (adjMapType::iterator it = _adjList.begin(), eit = _adjList.end();
242 it != eit; it++) {
-
243 twoNodePairType nodePair = it->first;
+
243 twoNodePairType nodePair = it->first;
244
245 if (nodePair.second == destID) {
246 edges.push_back(it->second);
@@ -415,15 +423,15 @@
287 os << "Adjacency list: " << _adjList.size() << "\n";
288 for (Graph::adjMapType::iterator it = _adjList.begin(), eit = _adjList.end();
289 it != eit; it++) {
-
290 Graph::twoNodePairType p = it->first;
+
290 Graph::twoNodePairType p = it->first;
291 Edge *e = it->second;
292
293 os << "Edge (" << p.first << "," << p.second << ") \n";
294 os << "TimeAdvance : \n";
-
295 Edge::timeAdvanceVectorType timeAdvanceVector = e->getTimeAdvanceVector();
+
295 Edge::timeAdvanceVectorType timeAdvanceVector = e->getTimeAdvanceVector();
296 os << "\n Size of timeAdvanceVector : " << timeAdvanceVector.size();
297 for (unsigned int i = 0; i < timeAdvanceVector.size(); i++) {
-
298 Edge::timePairType timePair = timeAdvanceVector.at(i);
+
298 Edge::timePairType timePair = timeAdvanceVector.at(i);
299 os << " " << timePair.first << " " << timePair.second << "\n";
300 }
301 // e->dump(os,tabn++);
@@ -440,7 +448,7 @@
310
311 for (Graph::adjMapType::iterator it = _adjList.begin(), eit = _adjList.end();
312 it != eit; it++) {
-
313 Graph::twoNodePairType p = it->first;
+
313 Graph::twoNodePairType p = it->first;
314 Edge *e = it->second;
315
316 os << " Edge (" << p.first << ", " << p.second << ")\n";
@@ -515,15 +523,15 @@
384}
385*/
386
- +
388
- +
390
- +
392
- +
394
- +
396
@@ -550,7 +558,7 @@
Edge(Node *, Node *)
Edge class.
Definition Automata.cpp:63
timeAdvanceVectorType _timeAdvanceVector
Definition Automata.h:61
-
vector< timePairType > timeAdvanceVectorType
Definition Automata.h:45
+
vector< timePairType > timeAdvanceVectorType
Definition Automata.h:45
void dump(raw_ostream &, int)
Definition Automata.cpp:73
@@ -559,60 +567,61 @@
timeAdvanceVectorType getTimeAdvanceVector()
Definition Automata.cpp:81
-
pair< unsigned int, unsigned int > timePairType
Definition Automata.h:44
+
pair< unsigned int, unsigned int > timePairType
Definition Automata.h:44
void dump(raw_ostream &, int tabn=0)
Definition Automata.cpp:270
int getNodeID(Node *)
Definition Automata.cpp:162
edgeVector returnEdgeVector()
Definition Automata.cpp:395
+
map< twoNodePairType, Edge * > adjMapType
Definition Automata.h:83
vector< Edge * > getEdgesFromSource(int)
Definition Automata.cpp:226
Edge * addEdge(Node *, Node *)
Definition Automata.cpp:110
int getEdgeID(Edge *)
Definition Automata.cpp:172
edgeVector _edgeVector
Definition Automata.h:131
-
pair< int, Node * > nodePairType
Definition Automata.h:75
-
vector< Node * > nodeVector
Definition Automata.h:71
+
vector< Edge * > edgeVector
Definition Automata.h:72
void dumpSauto(raw_ostream &, int tabn=0)
Definition Automata.cpp:305
edgeIDVector _edgeIDVector
Definition Automata.h:129
-
map< twoNodePairType, Edge * > adjMapType
Definition Automata.h:83
-
vector< int > nodeIDVector
Definition Automata.h:68
-
vector< Edge * > edgeVector
Definition Automata.h:72
-
pair< twoNodePairType, Edge * > adjPairType
Definition Automata.h:82
+
vector< Node * > nodeVector
Definition Automata.h:71
+
pair< int, Edge * > edgePairType
Definition Automata.h:78
+
vector< int > nodeIDVector
Definition Automata.h:68
+
vector< int > edgeIDVector
Definition Automata.h:69
Node * getNode(int)
Definition Automata.cpp:218
Edge * getEdge(Node *, Node *)
Definition Automata.cpp:208
-
pair< int, int > twoNodePairType
Definition Automata.h:80
edgeMapType _edgeMap
Definition Automata.h:124
adjMapType returnAdjList()
Definition Automata.cpp:387
adjMapType _adjList
Definition Automata.h:122
vector< Edge * > getEdgesFromDest(int)
Definition Automata.cpp:239
edgeIDVector returnEdgeIDs()
Definition Automata.cpp:391
+
pair< int, Node * > nodePairType
Definition Automata.h:75
nodeVector returnNodeVector()
Definition Automata.cpp:393
-
vector< int > edgeIDVector
Definition Automata.h:69
-
pair< int, Edge * > edgePairType
Definition Automata.h:78
+
pair< int, int > twoNodePairType
Definition Automata.h:80
Graph()
Graph class.
Definition Automata.cpp:88
nodeVector _nodeVector
Definition Automata.h:130
nodeIDVector returnNodeIDs()
Definition Automata.cpp:389
nodeIDVector _nodeIDVector
Definition Automata.h:128
nodeMapType _nodeMap
Definition Automata.h:123
+
pair< twoNodePairType, Edge * > adjPairType
Definition Automata.h:82
void addPredecessor(Node *)
Definition Automata.cpp:18
-
pair< int, Node * > connectPairType
Definition Automata.h:21
connectMapType _preds
Definition Automata.h:38
connectMapType _succs
Definition Automata.h:39
vector< int > getSuccessors(int)
Definition Automata.cpp:22
void dump(raw_ostream &, int)
Definition Automata.cpp:46
vector< int > getPredecessors(int)
Definition Automata.cpp:34
+
pair< int, Node * > connectPairType
Definition Automata.h:21
void addSuccessor(Node *)
Definition Automata.cpp:13
Node()
Node class.
Definition Automata.cpp:7
+
diff --git a/Automata_8h.html b/Automata_8h.html index beb6c81b..c92171e0 100644 --- a/Automata_8h.html +++ b/Automata_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Automata.h File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -107,13 +120,13 @@ - + - + @@ -128,15 +141,15 @@
- - - - - + + + + + - + - + @@ -165,22 +178,22 @@ - - + + - + - + - + - + - + - + @@ -190,7 +203,7 @@ - + @@ -205,9 +218,9 @@ - + - + @@ -230,13 +243,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/Automata_8h__dep__incl.map b/Automata_8h__dep__incl.map index fdbad082..6b055eb6 100644 --- a/Automata_8h__dep__incl.map +++ b/Automata_8h__dep__incl.map @@ -1,13 +1,13 @@ - - - - - + + + + + - + - + @@ -36,22 +36,22 @@ - - + + - + - + - + - + - + - + @@ -61,7 +61,7 @@ - + @@ -76,9 +76,9 @@ - + - + diff --git a/Automata_8h__dep__incl.png b/Automata_8h__dep__incl.png index dd14abee..1f610360 100644 Binary files a/Automata_8h__dep__incl.png and b/Automata_8h__dep__incl.png differ diff --git a/Automata_8h__incl.map b/Automata_8h__incl.map index 3ec65390..53f056c3 100644 --- a/Automata_8h__incl.map +++ b/Automata_8h__incl.map @@ -8,13 +8,13 @@ - + - + diff --git a/Automata_8h_source.html b/Automata_8h_source.html index 57906881..4e0bde50 100644 --- a/Automata_8h_source.html +++ b/Automata_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Automata.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
Automata.h
@@ -105,8 +113,8 @@
19class Node {
20public:
-
21 typedef pair<int, Node *> connectPairType;
-
22 typedef map<int, Node *> connectMapType;
+
21 typedef pair<int, Node *> connectPairType;
+
22 typedef map<int, Node *> connectMapType;
23
24 Node();
25 Node(int);
@@ -122,32 +130,32 @@
35
36protected:
37 int _id;
- - + +
40};
41
42class Edge {
43public:
-
44 typedef pair<unsigned int, unsigned int> timePairType;
-
45 typedef vector<timePairType> timeAdvanceVectorType;
+
44 typedef pair<unsigned int, unsigned int> timePairType;
+
45 typedef vector<timePairType> timeAdvanceVectorType;
46
47 Edge(Node *, Node *);
48 Edge(Node *, Node *, int);
49
- +
51
52 int getId();
53 int getToId();
54 int getFromId();
- +
56
57 void dump(raw_ostream &, int);
58
59protected:
60 int _id;
- +
64};
@@ -156,25 +164,25 @@
66class Graph {
67public:
-
68 typedef vector<int> nodeIDVector;
-
69 typedef vector<int> edgeIDVector;
+
68 typedef vector<int> nodeIDVector;
+
69 typedef vector<int> edgeIDVector;
70
-
71 typedef vector<Node *> nodeVector;
-
72 typedef vector<Edge *> edgeVector;
+
71 typedef vector<Node *> nodeVector;
+
72 typedef vector<Edge *> edgeVector;
73
-
74 typedef map<int, Node *> nodeMapType;
-
75 typedef pair<int, Node *> nodePairType;
+
74 typedef map<int, Node *> nodeMapType;
+
75 typedef pair<int, Node *> nodePairType;
76
-
77 typedef map<int, Edge *> edgeMapType;
-
78 typedef pair<int, Edge *> edgePairType;
+
77 typedef map<int, Edge *> edgeMapType;
+
78 typedef pair<int, Edge *> edgePairType;
79
-
80 typedef pair<int, int> twoNodePairType;
+
80 typedef pair<int, int> twoNodePairType;
81
-
82 typedef pair<twoNodePairType, Edge *> adjPairType;
-
83 typedef map<twoNodePairType, Edge *> adjMapType;
+
82 typedef pair<twoNodePairType, Edge *> adjPairType;
+
83 typedef map<twoNodePairType, Edge *> adjMapType;
84
-
85 typedef pair<int, vector<Edge *>> adjEdgesPairType;
-
86 typedef map<int, vector<Edge *>> adjEdgesMapType;
+
85 typedef pair<int, vector<Edge *>> adjEdgesPairType;
+
86 typedef map<int, vector<Edge *>> adjEdgesMapType;
87
88 Graph();
89 ~Graph();
@@ -198,28 +206,28 @@
107 vector<Edge *> getEdgesFromDest(int);
108 vector<Edge *> getEdgesFromDest(Node *);
109
- - - - - - - + + + + + + +
117
118 void dump(raw_ostream &, int tabn = 0);
119 void dumpSauto(raw_ostream &, int tabn = 0);
120
121protected:
- - - - + + + + - - - - + + + +
132};
133} // namespace systemc_clang
@@ -227,7 +235,7 @@
Edge(Node *, Node *)
Edge class.
Definition Automata.cpp:63
timeAdvanceVectorType _timeAdvanceVector
Definition Automata.h:61
-
vector< timePairType > timeAdvanceVectorType
Definition Automata.h:45
+
vector< timePairType > timeAdvanceVectorType
Definition Automata.h:45
void dump(raw_ostream &, int)
Definition Automata.cpp:73
@@ -236,70 +244,71 @@
timeAdvanceVectorType getTimeAdvanceVector()
Definition Automata.cpp:81
-
pair< unsigned int, unsigned int > timePairType
Definition Automata.h:44
+
pair< unsigned int, unsigned int > timePairType
Definition Automata.h:44
void dump(raw_ostream &, int tabn=0)
Definition Automata.cpp:270
int getNodeID(Node *)
Definition Automata.cpp:162
edgeVector returnEdgeVector()
Definition Automata.cpp:395
edgeVector returnEdges()
+
map< twoNodePairType, Edge * > adjMapType
Definition Automata.h:83
vector< Edge * > getEdgesFromSource(int)
Definition Automata.cpp:226
Edge * addEdge(Node *, Node *)
Definition Automata.cpp:110
int getEdgeID(Edge *)
Definition Automata.cpp:172
edgeVector _edgeVector
Definition Automata.h:131
-
pair< int, vector< Edge * > > adjEdgesPairType
Definition Automata.h:85
-
pair< int, Node * > nodePairType
Definition Automata.h:75
-
vector< Node * > nodeVector
Definition Automata.h:71
+
vector< Edge * > edgeVector
Definition Automata.h:72
void dumpSauto(raw_ostream &, int tabn=0)
Definition Automata.cpp:305
adjEdgesMapType _adjEdges
Definition Automata.h:125
+
map< int, Edge * > edgeMapType
Definition Automata.h:77
edgeIDVector _edgeIDVector
Definition Automata.h:129
-
map< twoNodePairType, Edge * > adjMapType
Definition Automata.h:83
-
vector< int > nodeIDVector
Definition Automata.h:68
-
vector< Edge * > edgeVector
Definition Automata.h:72
-
map< int, vector< Edge * > > adjEdgesMapType
Definition Automata.h:86
-
pair< twoNodePairType, Edge * > adjPairType
Definition Automata.h:82
+
vector< Node * > nodeVector
Definition Automata.h:71
+
pair< int, Edge * > edgePairType
Definition Automata.h:78
+
map< int, Node * > nodeMapType
Definition Automata.h:74
+
vector< int > nodeIDVector
Definition Automata.h:68
-
map< int, Node * > nodeMapType
Definition Automata.h:74
+
vector< int > edgeIDVector
Definition Automata.h:69
Node * getNode(int)
Definition Automata.cpp:218
+
pair< int, vector< Edge * > > adjEdgesPairType
Definition Automata.h:85
Edge * getEdge(Node *, Node *)
Definition Automata.cpp:208
nodeVector returnNodes()
-
pair< int, int > twoNodePairType
Definition Automata.h:80
edgeMapType _edgeMap
Definition Automata.h:124
adjMapType returnAdjList()
Definition Automata.cpp:387
adjMapType _adjList
Definition Automata.h:122
vector< Edge * > getEdgesFromDest(int)
Definition Automata.cpp:239
-
map< int, Edge * > edgeMapType
Definition Automata.h:77
edgeIDVector returnEdgeIDs()
Definition Automata.cpp:391
+
pair< int, Node * > nodePairType
Definition Automata.h:75
nodeVector returnNodeVector()
Definition Automata.cpp:393
-
vector< int > edgeIDVector
Definition Automata.h:69
-
pair< int, Edge * > edgePairType
Definition Automata.h:78
+
pair< int, int > twoNodePairType
Definition Automata.h:80
Graph()
Graph class.
Definition Automata.cpp:88
nodeVector _nodeVector
Definition Automata.h:130
nodeIDVector returnNodeIDs()
Definition Automata.cpp:389
nodeIDVector _nodeIDVector
Definition Automata.h:128
nodeMapType _nodeMap
Definition Automata.h:123
+
pair< twoNodePairType, Edge * > adjPairType
Definition Automata.h:82
+
map< int, vector< Edge * > > adjEdgesMapType
Definition Automata.h:86
void addPredecessor(Node *)
Definition Automata.cpp:18
-
pair< int, Node * > connectPairType
Definition Automata.h:21
connectMapType _preds
Definition Automata.h:38
connectMapType _succs
Definition Automata.h:39
vector< int > getSuccessors(int)
Definition Automata.cpp:22
void dump(raw_ostream &, int)
Definition Automata.cpp:46
-
map< int, Node * > connectMapType
Definition Automata.h:22
vector< int > getPredecessors(int)
Definition Automata.cpp:34
+
pair< int, Node * > connectPairType
Definition Automata.h:21
void addSuccessor(Node *)
Definition Automata.cpp:13
Node()
Node class.
Definition Automata.cpp:7
+
map< int, Node * > connectMapType
Definition Automata.h:22
Clang forward declarations.
Definition FindArgument.h:6
+ diff --git a/CXXRecordDeclUtils_8cpp.html b/CXXRecordDeclUtils_8cpp.html index e57a94b4..b2b872ad 100644 --- a/CXXRecordDeclUtils_8cpp.html +++ b/CXXRecordDeclUtils_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CXXRecordDeclUtils.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -108,7 +121,7 @@ - + @@ -128,9 +141,9 @@ - + - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
namespace  sc_ast_matchers::utils
namespace  sc_ast_matchers::utils
 

@@ -152,7 +165,8 @@ + diff --git a/CXXRecordDeclUtils_8cpp__incl.map b/CXXRecordDeclUtils_8cpp__incl.map index b5a8ba71..5b7d3056 100644 --- a/CXXRecordDeclUtils_8cpp__incl.map +++ b/CXXRecordDeclUtils_8cpp__incl.map @@ -12,7 +12,7 @@ - + diff --git a/CXXRecordDeclUtils_8cpp_source.html b/CXXRecordDeclUtils_8cpp_source.html index d66f5b35..5f7241c5 100644 --- a/CXXRecordDeclUtils_8cpp_source.html +++ b/CXXRecordDeclUtils_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CXXRecordDeclUtils.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
CXXRecordDeclUtils.cpp
@@ -348,7 +356,8 @@
+ diff --git a/CXXRecordDeclUtils_8h.html b/CXXRecordDeclUtils_8h.html index b67e5381..7a733f38 100644 --- a/CXXRecordDeclUtils_8h.html +++ b/CXXRecordDeclUtils_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CXXRecordDeclUtils.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -109,7 +122,7 @@ - + @@ -121,7 +134,7 @@ - + @@ -131,17 +144,17 @@ - + - + - + - + - + @@ -151,7 +164,7 @@ - + @@ -171,12 +184,12 @@ - + - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
namespace  sc_ast_matchers::utils
namespace  sc_ast_matchers::utils
 

@@ -225,7 +238,8 @@

+ diff --git a/CXXRecordDeclUtils_8h__dep__incl.map b/CXXRecordDeclUtils_8h__dep__incl.map index 04f7779f..919a9b31 100644 --- a/CXXRecordDeclUtils_8h__dep__incl.map +++ b/CXXRecordDeclUtils_8h__dep__incl.map @@ -2,7 +2,7 @@ - + @@ -14,7 +14,7 @@ - + @@ -24,17 +24,17 @@ - + - + - + - + - + @@ -44,7 +44,7 @@ - + diff --git a/CXXRecordDeclUtils_8h__dep__incl.png b/CXXRecordDeclUtils_8h__dep__incl.png index 3d47373f..2a361a69 100644 Binary files a/CXXRecordDeclUtils_8h__dep__incl.png and b/CXXRecordDeclUtils_8h__dep__incl.png differ diff --git a/CXXRecordDeclUtils_8h_source.html b/CXXRecordDeclUtils_8h_source.html index 8b320ce8..eb7df94b 100644 --- a/CXXRecordDeclUtils_8h_source.html +++ b/CXXRecordDeclUtils_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CXXRecordDeclUtils.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
CXXRecordDeclUtils.h
@@ -149,7 +157,8 @@
+ diff --git a/CallExprUtils_8cpp.html b/CallExprUtils_8cpp.html index 5414ec43..9b801406 100644 --- a/CallExprUtils_8cpp.html +++ b/CallExprUtils_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CallExprUtils.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -120,9 +133,9 @@ - + - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
namespace  sc_ast_matchers::utils
namespace  sc_ast_matchers::utils
 

@@ -145,7 +158,8 @@ + diff --git a/CallExprUtils_8cpp_source.html b/CallExprUtils_8cpp_source.html index 9da00d81..49914082 100644 --- a/CallExprUtils_8cpp_source.html +++ b/CallExprUtils_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CallExprUtils.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
CallExprUtils.cpp
@@ -391,7 +399,8 @@
+ diff --git a/CallExprUtils_8h.html b/CallExprUtils_8h.html index 61563bea..322d2166 100644 --- a/CallExprUtils_8h.html +++ b/CallExprUtils_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CallExprUtils.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -96,17 +109,17 @@
- + - + - + - + - + - +
@@ -126,9 +139,9 @@ - - - + + + @@ -159,9 +172,9 @@ - + - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
namespace  sc_ast_matchers::utils
namespace  sc_ast_matchers::utils
 

@@ -209,7 +222,8 @@

+ diff --git a/CallExprUtils_8h__dep__incl.map b/CallExprUtils_8h__dep__incl.map index cee8744c..85c2618e 100644 --- a/CallExprUtils_8h__dep__incl.map +++ b/CallExprUtils_8h__dep__incl.map @@ -10,9 +10,9 @@ - - - + + + diff --git a/CallExprUtils_8h__dep__incl.png b/CallExprUtils_8h__dep__incl.png index 87182db8..021c0153 100644 Binary files a/CallExprUtils_8h__dep__incl.png and b/CallExprUtils_8h__dep__incl.png differ diff --git a/CallExprUtils_8h__incl.map b/CallExprUtils_8h__incl.map index 6e3e6f22..38f10068 100644 --- a/CallExprUtils_8h__incl.map +++ b/CallExprUtils_8h__incl.map @@ -1,15 +1,15 @@ - + - + - + - + - + - + diff --git a/CallExprUtils_8h_source.html b/CallExprUtils_8h_source.html index 98c4dde4..ea60a392 100644 --- a/CallExprUtils_8h_source.html +++ b/CallExprUtils_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils/CallExprUtils.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
CallExprUtils.h
@@ -241,7 +249,8 @@
+ diff --git a/EntryFunctionContainer_8cpp.html b/EntryFunctionContainer_8cpp.html index d226a759..dd39a877 100644 --- a/EntryFunctionContainer_8cpp.html +++ b/EntryFunctionContainer_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EntryFunctionContainer.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
EntryFunctionContainer.cpp File Reference
@@ -92,9 +105,9 @@ - + - + @@ -105,18 +118,18 @@ - - + + - + - + @@ -131,7 +144,7 @@ - + @@ -144,7 +157,7 @@ - + @@ -153,7 +166,7 @@ - + @@ -180,7 +193,8 @@
+ diff --git a/EntryFunctionContainer_8cpp__incl.map b/EntryFunctionContainer_8cpp__incl.map index e04ba729..d27605bf 100644 --- a/EntryFunctionContainer_8cpp__incl.map +++ b/EntryFunctionContainer_8cpp__incl.map @@ -5,9 +5,9 @@ - + - + @@ -18,18 +18,18 @@ - - + + - + - + @@ -44,7 +44,7 @@ - + @@ -57,7 +57,7 @@ - + @@ -66,7 +66,7 @@ - + diff --git a/EntryFunctionContainer_8cpp__incl.png b/EntryFunctionContainer_8cpp__incl.png index f0c41d0a..a3d52574 100644 Binary files a/EntryFunctionContainer_8cpp__incl.png and b/EntryFunctionContainer_8cpp__incl.png differ diff --git a/EntryFunctionContainer_8cpp_source.html b/EntryFunctionContainer_8cpp_source.html index 72216065..a25e7c02 100644 --- a/EntryFunctionContainer_8cpp_source.html +++ b/EntryFunctionContainer_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EntryFunctionContainer.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
EntryFunctionContainer.cpp
@@ -161,7 +169,7 @@
60string EntryFunctionContainer::getName() { return entry_name_; }
61
- +
63 return senseMap_;
64}
@@ -172,14 +180,14 @@
68}
69
-
70EntryFunctionContainer::waitContainerListType
+
70EntryFunctionContainer::waitContainerListType
71EntryFunctionContainer::getWaitCalls() {
72 return _waitCalls;
73}
74
-
75EntryFunctionContainer::notifyContainerListType
+
75EntryFunctionContainer::notifyContainerListType
76EntryFunctionContainer::getNotifyCalls() {
77 return _notifyCalls;
@@ -189,13 +197,13 @@
80PROCESS_TYPE EntryFunctionContainer::getProcessType() { return process_type_; }
81
- +
83 return _susCFG;
84}
85
- +
87 return _susAuto;
88}
@@ -210,14 +218,14 @@
97
-
98void EntryFunctionContainer::addSensitivityInfo(SenseMapType &sm) {
+
98void EntryFunctionContainer::addSensitivityInfo(SenseMapType &sm) {
99 senseMap_ = sm;
100}
101
102void EntryFunctionContainer::addWaits(FindWait &f) {
-
103 FindWait::waitListType wcalls = f.getWaitCalls();
+
103 FindWait::waitListType wcalls = f.getWaitCalls();
104 for (FindWait::waitListType::iterator it = wcalls.begin(), eit = wcalls.end();
105 it != eit; it++) {
106 // 'it' points to CXXMembercallExpr type.
@@ -266,7 +274,7 @@
144void EntryFunctionContainer::dumpSusCFG(raw_ostream &os) {
145 os << "\n#############################################";
146#ifdef USE_SAUTO
-
147 SuspensionAutomata::susCFGVectorType susCFGVector = _susCFG;
+
147 SuspensionAutomata::susCFGVectorType susCFGVector = _susCFG;
148
149 for (unsigned int i = 0; i < susCFGVector.size(); i++) {
150 os << "\n Block ID : " << susCFGVector.at(i)->getBlockID();
@@ -371,9 +379,9 @@
A container class to hold entry function information.
-
std::vector< NotifyContainer * > notifyContainerListType
void setEntryMethod(clang::CXXMethodDecl *)
bool reset_type_async_
+
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
std::vector< SusCFG * > _susCFG
const std::pair< std::string, const clang::Expr * > getResetSignal() const
notifyContainerListType _notifyCalls
@@ -382,7 +390,6 @@
PROCESS_TYPE process_type_
bool isResetAsync() const
waitContainerListType getWaitCalls()
-
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
std::vector< Transition * > _susAuto
SenseMapType senseMap_
std::string entry_name_
@@ -397,10 +404,10 @@
void addSensitivityInfo(SenseMapType &)
notifyContainerListType getNotifyCalls()
SuspensionAutomata::transitionVectorType getSusAuto()
-
std::vector< WaitContainer * > waitContainerListType
typedefs
void dumpSusCFG(llvm::raw_ostream &)
void addWaits(FindWait &)
void dumpSauto(llvm::raw_ostream &)
+
std::vector< NotifyContainer * > notifyContainerListType
void setName(std::string)
EntryFunctionContainer()
PROCESS_TYPE getProcessType()
@@ -408,6 +415,7 @@
std::pair< std::string, const clang::Expr * > reset_edge_
void addResetSignal(std::pair< std::string, const clang::Expr * > reset_signal)
void setProcessType(PROCESS_TYPE)
+
std::vector< WaitContainer * > waitContainerListType
typedefs
void addResetType(bool reset_type)
void dump(llvm::raw_ostream &)
SuspensionAutomata::susCFGVectorType getSusCFG()
@@ -416,7 +424,7 @@
clang::CXXMethodDecl * getEntryMethod() const
NotifyCallListType getNotifyCallList() const
Definition FindWait.h:18
-
vector< WaitContainer * > waitListType
Definition FindWait.h:20
+
vector< WaitContainer * > waitListType
Definition FindWait.h:20
clang::CXXMethodDecl * getEntryMethod() const
Definition FindWait.cpp:66
waitListType getWaitCalls()
Definition FindWait.cpp:64
@@ -425,10 +433,10 @@
vector< SusCFG * > getChildBlockList()
unsigned int getBlockID()
-
vector< SusCFG * > susCFGVectorType
transitionVectorType getSauto()
-
vector< Transition * > transitionVectorType
susCFGVectorType getSusCFG()
+
vector< SusCFG * > susCFGVectorType
+
vector< Transition * > transitionVectorType
void dump(raw_ostream &)
@@ -441,7 +449,8 @@
+ diff --git a/EntryFunctionContainer_8h.html b/EntryFunctionContainer_8h.html index 49d0c06d..d6b430d0 100644 --- a/EntryFunctionContainer_8h.html +++ b/EntryFunctionContainer_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EntryFunctionContainer.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,11 +113,11 @@ - + - + @@ -127,11 +140,11 @@ - + - + @@ -143,16 +156,16 @@ - + - + - + @@ -200,7 +213,7 @@ - + @@ -212,8 +225,8 @@ - - + + @@ -221,7 +234,7 @@ - + @@ -237,7 +250,7 @@ - + @@ -246,15 +259,15 @@ - + - + - + @@ -273,10 +286,10 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 

@@ -310,7 +323,8 @@ + diff --git a/EntryFunctionContainer_8h__dep__incl.map b/EntryFunctionContainer_8h__dep__incl.map index 953c77eb..9cd728a9 100644 --- a/EntryFunctionContainer_8h__dep__incl.map +++ b/EntryFunctionContainer_8h__dep__incl.map @@ -16,7 +16,7 @@ - + @@ -28,8 +28,8 @@ - - + + @@ -37,7 +37,7 @@ - + @@ -53,7 +53,7 @@ - + @@ -62,15 +62,15 @@ - + - + - + diff --git a/EntryFunctionContainer_8h__dep__incl.png b/EntryFunctionContainer_8h__dep__incl.png index 6c843bbd..fb3f8114 100644 Binary files a/EntryFunctionContainer_8h__dep__incl.png and b/EntryFunctionContainer_8h__dep__incl.png differ diff --git a/EntryFunctionContainer_8h__incl.map b/EntryFunctionContainer_8h__incl.map index 29b34bf4..a92b9b0c 100644 --- a/EntryFunctionContainer_8h__incl.map +++ b/EntryFunctionContainer_8h__incl.map @@ -1,11 +1,11 @@ - + - + @@ -28,11 +28,11 @@ - + - + @@ -44,16 +44,16 @@ - + - + - + diff --git a/EntryFunctionContainer_8h__incl.png b/EntryFunctionContainer_8h__incl.png index ed8b850c..b57a3b1a 100644 Binary files a/EntryFunctionContainer_8h__incl.png and b/EntryFunctionContainer_8h__incl.png differ diff --git a/EntryFunctionContainer_8h_source.html b/EntryFunctionContainer_8h_source.html index 14ec02a3..967f7f0e 100644 --- a/EntryFunctionContainer_8h_source.html +++ b/EntryFunctionContainer_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EntryFunctionContainer.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
EntryFunctionContainer.h
@@ -150,27 +158,27 @@
66 public:
-
68 typedef std::vector<WaitContainer *> waitContainerListType;
-
69 typedef std::vector<NotifyContainer *> notifyContainerListType;
+
68 typedef std::vector<WaitContainer *> waitContainerListType;
+
69 typedef std::vector<NotifyContainer *> notifyContainerListType;
70
71 typedef std::pair<int, SuspensionAutomata::transitionVectorType>
- +
73 typedef std::map<int, SuspensionAutomata::transitionVectorType>
- +
75
76 typedef std::pair<int, SuspensionAutomata::susCFGVectorType>
- +
78 typedef std::map<int, SuspensionAutomata::susCFGVectorType>
- +
80
81 // Sensitivity information
82 typedef std::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *,
83 clang::VarDecl*, clang::ArraySubscriptExpr *, clang::ForStmt *>
- +
85 typedef std::pair<std::string, std::vector<SensitivityTupleType>>
- +
87
-
88 typedef std::map<std::string, std::vector<SensitivityTupleType>> SenseMapType;
+
88 typedef std::map<std::string, std::vector<SensitivityTupleType>> SenseMapType;
89
91 EntryFunctionContainer(std::string, PROCESS_TYPE, clang::CXXMethodDecl *,
@@ -185,17 +193,17 @@
101 // Stmt *getConstructorStmt ();
103
- - - - - - - + + + + + + +
111
112 // Add waits.
113 // void addSensitivityInfo(FindSensitivity &);
- +
115 void addWaits(FindWait &);
116 void addNotifys(FindNotify &);
@@ -224,11 +232,11 @@
140 clang::CXXMethodDecl *entry_method_decl_;
141
142 // Hold all the waits.
- - + +
145
146 // Sensitivity information
- +
148
149 std::vector<Transition *> _susAuto;
150 std::vector<SusCFG *> _susCFG;
@@ -248,31 +256,28 @@
A set of utility functions in the Utility class.
-
std::vector< NotifyContainer * > notifyContainerListType
-
std::map< int, SuspensionAutomata::transitionVectorType > instanceSautoMapType
+
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
const std::pair< std::string, const clang::Expr * > getResetSignal() const
-
std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
+
std::map< int, SuspensionAutomata::transitionVectorType > instanceSautoMapType
-
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
-
std::pair< int, SuspensionAutomata::transitionVectorType > instanceSautoPairType
+
std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
instanceSautoMapType getInstanceSautoMap()
+
std::pair< int, SuspensionAutomata::susCFGVectorType > instanceSusCFGPairType
instanceSusCFGMapType getInstanceSusCFGMap()
-
std::map< int, SuspensionAutomata::susCFGVectorType > instanceSusCFGMapType
std::pair< std::string, const clang::Expr * > reset_signal_
Reset information.
const std::pair< std::string, const clang::Expr * > getResetEdge() const
-
std::pair< int, SuspensionAutomata::susCFGVectorType > instanceSusCFGPairType
EntryFunctionContainer(std::string, PROCESS_TYPE, clang::CXXMethodDecl *, clang::Stmt *)
@@ -282,10 +287,10 @@
SuspensionAutomata::transitionVectorType getSusAuto()
-
std::vector< WaitContainer * > waitContainerListType
typedefs
+
std::vector< NotifyContainer * > notifyContainerListType
@@ -293,15 +298,18 @@
std::pair< std::string, const clang::Expr * > reset_edge_
void addResetSignal(std::pair< std::string, const clang::Expr * > reset_signal)
-
std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
+
std::vector< WaitContainer * > waitContainerListType
typedefs
+
std::pair< int, SuspensionAutomata::transitionVectorType > instanceSautoPairType
SuspensionAutomata::susCFGVectorType getSusCFG()
+
std::map< int, SuspensionAutomata::susCFGVectorType > instanceSusCFGMapType
+
std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
- -
vector< Transition * > transitionVectorType
+ +
vector< Transition * > transitionVectorType
Clang forward declarations.
Definition FindArgument.h:6
@@ -322,7 +330,8 @@
+ diff --git a/EventContainer_8cpp.html b/EventContainer_8cpp.html index 33cccd55..c63244b6 100644 --- a/EventContainer_8cpp.html +++ b/EventContainer_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventContainer.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
EventContainer.cpp File Reference
@@ -102,7 +115,8 @@
+ diff --git a/EventContainer_8cpp_source.html b/EventContainer_8cpp_source.html index 70566e4c..942d5545 100644 --- a/EventContainer_8cpp_source.html +++ b/EventContainer_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventContainer.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
EventContainer.cpp
@@ -136,7 +144,8 @@
+ diff --git a/EventContainer_8h.html b/EventContainer_8h.html index 335d4f65..639f503b 100644 --- a/EventContainer_8h.html +++ b/EventContainer_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventContainer.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -122,24 +135,24 @@ - + - - + + - + - + - + - + - + @@ -166,10 +179,10 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 

Detailed Description

@@ -179,7 +192,8 @@
+
diff --git a/EventContainer_8h__dep__incl.map b/EventContainer_8h__dep__incl.map index fd90600a..5773dcb9 100644 --- a/EventContainer_8h__dep__incl.map +++ b/EventContainer_8h__dep__incl.map @@ -17,24 +17,24 @@ - + - - + + - + - + - + - + - + diff --git a/EventContainer_8h__dep__incl.png b/EventContainer_8h__dep__incl.png index ab4588e7..af7c9aa5 100644 Binary files a/EventContainer_8h__dep__incl.png and b/EventContainer_8h__dep__incl.png differ diff --git a/EventContainer_8h_source.html b/EventContainer_8h_source.html index 200bb008..368531f1 100644 --- a/EventContainer_8h_source.html +++ b/EventContainer_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventContainer.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
EventContainer.h
@@ -143,7 +151,8 @@
+ diff --git a/EventDecl_8cpp.html b/EventDecl_8cpp.html index 113f39b0..3f3de37b 100644 --- a/EventDecl_8cpp.html +++ b/EventDecl_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventDecl.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
EventDecl.cpp File Reference
@@ -98,7 +111,7 @@ - + @@ -122,7 +135,8 @@
+ diff --git a/EventDecl_8cpp__incl.map b/EventDecl_8cpp__incl.map index 7d9d545a..620e8869 100644 --- a/EventDecl_8cpp__incl.map +++ b/EventDecl_8cpp__incl.map @@ -10,7 +10,7 @@ - + diff --git a/EventDecl_8cpp__incl.png b/EventDecl_8cpp__incl.png index 92f981cd..95df4fd0 100644 Binary files a/EventDecl_8cpp__incl.png and b/EventDecl_8cpp__incl.png differ diff --git a/EventDecl_8cpp_source.html b/EventDecl_8cpp_source.html index f979648b..594436f3 100644 --- a/EventDecl_8cpp_source.html +++ b/EventDecl_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventDecl.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
EventDecl.cpp
@@ -135,7 +143,8 @@
+ diff --git a/EventDecl_8h.html b/EventDecl_8h.html index 0264d54c..6ad5cb41 100644 --- a/EventDecl_8h.html +++ b/EventDecl_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventDecl.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -116,16 +129,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/EventDecl_8h_source.html b/EventDecl_8h_source.html index fc17a202..5ddaffb8 100644 --- a/EventDecl_8h_source.html +++ b/EventDecl_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/EventDecl.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
EventDecl.h
@@ -132,7 +140,8 @@
+ diff --git a/FindArgument_8cpp.html b/FindArgument_8cpp.html index 4a8e9996..edef9dfa 100644 --- a/FindArgument_8cpp.html +++ b/FindArgument_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindArgument.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindArgument.cpp File Reference
@@ -88,7 +101,7 @@
- + @@ -103,7 +116,7 @@ - +
@@ -112,7 +125,8 @@
+ diff --git a/FindArgument_8cpp__incl.map b/FindArgument_8cpp__incl.map index d342fa43..da07d4fc 100644 --- a/FindArgument_8cpp__incl.map +++ b/FindArgument_8cpp__incl.map @@ -1,5 +1,5 @@ - + @@ -14,6 +14,6 @@ - + diff --git a/FindArgument_8cpp_source.html b/FindArgument_8cpp_source.html index b27d9329..397c7181 100644 --- a/FindArgument_8cpp_source.html +++ b/FindArgument_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindArgument.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindArgument.cpp
@@ -152,7 +160,8 @@
+ diff --git a/FindArgument_8h.html b/FindArgument_8h.html index 28d0d6cc..b8477932 100644 --- a/FindArgument_8h.html +++ b/FindArgument_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindArgument.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -101,7 +114,7 @@ - +
@@ -115,16 +128,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindArgument_8h__dep__incl.map b/FindArgument_8h__dep__incl.map index ff4907d7..9ab64037 100644 --- a/FindArgument_8h__dep__incl.map +++ b/FindArgument_8h__dep__incl.map @@ -2,6 +2,6 @@ - + diff --git a/FindArgument_8h_source.html b/FindArgument_8h_source.html index 4b2cfefc..2a7b877e 100644 --- a/FindArgument_8h_source.html +++ b/FindArgument_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindArgument.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindArgument.h
@@ -139,7 +147,8 @@
+ diff --git a/FindConstructor_8cpp.html b/FindConstructor_8cpp.html index 7d374752..201515a1 100644 --- a/FindConstructor_8cpp.html +++ b/FindConstructor_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindConstructor.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindConstructor.cpp File Reference
@@ -86,7 +99,7 @@
- + @@ -95,20 +108,20 @@ - + - + - - + + @@ -123,7 +136,7 @@ - + @@ -141,11 +154,11 @@ - + - - + + @@ -175,7 +188,8 @@
+ diff --git a/FindConstructor_8cpp__incl.map b/FindConstructor_8cpp__incl.map index 7dbd7c38..be4f420d 100644 --- a/FindConstructor_8cpp__incl.map +++ b/FindConstructor_8cpp__incl.map @@ -1,6 +1,6 @@ - + @@ -9,20 +9,20 @@ - + - + - - + + @@ -37,7 +37,7 @@ - + @@ -55,11 +55,11 @@ - + - - + + diff --git a/FindConstructor_8cpp__incl.png b/FindConstructor_8cpp__incl.png index f7129b97..8380f7de 100644 Binary files a/FindConstructor_8cpp__incl.png and b/FindConstructor_8cpp__incl.png differ diff --git a/FindConstructor_8cpp_source.html b/FindConstructor_8cpp_source.html index 2d89d5ee..e805482f 100644 --- a/FindConstructor_8cpp_source.html +++ b/FindConstructor_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindConstructor.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindConstructor.cpp
@@ -187,7 +195,8 @@
+ diff --git a/FindConstructor_8h.html b/FindConstructor_8h.html index 5fa7380a..c4af5894 100644 --- a/FindConstructor_8h.html +++ b/FindConstructor_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindConstructor.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -89,7 +102,7 @@
- + @@ -97,20 +110,20 @@ - + - + - - + + @@ -125,7 +138,7 @@ - + @@ -143,11 +156,11 @@ - + - - + + @@ -181,12 +194,12 @@ - + - + @@ -197,8 +210,8 @@ - - + + @@ -206,7 +219,7 @@ - + @@ -222,14 +235,14 @@ - + - + @@ -237,7 +250,7 @@ - + @@ -254,13 +267,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindConstructor_8h__dep__incl.map b/FindConstructor_8h__dep__incl.map index d5cca93f..71a367a1 100644 --- a/FindConstructor_8h__dep__incl.map +++ b/FindConstructor_8h__dep__incl.map @@ -3,12 +3,12 @@ - + - + @@ -19,8 +19,8 @@ - - + + @@ -28,7 +28,7 @@ - + @@ -44,14 +44,14 @@ - + - + @@ -59,7 +59,7 @@ - + diff --git a/FindConstructor_8h__dep__incl.png b/FindConstructor_8h__dep__incl.png index 1a1bef27..c66817e5 100644 Binary files a/FindConstructor_8h__dep__incl.png and b/FindConstructor_8h__dep__incl.png differ diff --git a/FindConstructor_8h__incl.map b/FindConstructor_8h__incl.map index 4f129d6f..e39a7ba8 100644 --- a/FindConstructor_8h__incl.map +++ b/FindConstructor_8h__incl.map @@ -1,5 +1,5 @@ - + @@ -7,20 +7,20 @@ - + - + - - + + @@ -35,7 +35,7 @@ - + @@ -53,11 +53,11 @@ - + - - + + diff --git a/FindConstructor_8h__incl.png b/FindConstructor_8h__incl.png index aca1796e..a913f081 100644 Binary files a/FindConstructor_8h__incl.png and b/FindConstructor_8h__incl.png differ diff --git a/FindConstructor_8h_source.html b/FindConstructor_8h_source.html index 562c184d..d4119d91 100644 --- a/FindConstructor_8h_source.html +++ b/FindConstructor_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindConstructor.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
FindConstructor.h
@@ -140,7 +148,8 @@
+ diff --git a/FindEntryFunctions_8cpp.html b/FindEntryFunctions_8cpp.html index feef0d45..8d7a020f 100644 --- a/FindEntryFunctions_8cpp.html +++ b/FindEntryFunctions_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEntryFunctions.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindEntryFunctions.cpp File Reference
@@ -86,7 +99,7 @@
- + @@ -94,14 +107,14 @@ - + - + @@ -124,12 +137,12 @@ - + - + - + @@ -141,7 +154,7 @@ - + @@ -164,7 +177,7 @@ - + @@ -183,7 +196,8 @@
+
diff --git a/FindEntryFunctions_8cpp__incl.map b/FindEntryFunctions_8cpp__incl.map index 0be940ea..de812976 100644 --- a/FindEntryFunctions_8cpp__incl.map +++ b/FindEntryFunctions_8cpp__incl.map @@ -1,5 +1,5 @@ - + @@ -7,14 +7,14 @@ - + - + @@ -37,12 +37,12 @@ - + - + - + @@ -54,7 +54,7 @@ - + @@ -77,7 +77,7 @@ - + diff --git a/FindEntryFunctions_8cpp__incl.png b/FindEntryFunctions_8cpp__incl.png index aa9f564e..3f79920c 100644 Binary files a/FindEntryFunctions_8cpp__incl.png and b/FindEntryFunctions_8cpp__incl.png differ diff --git a/FindEntryFunctions_8cpp_source.html b/FindEntryFunctions_8cpp_source.html index dd47f705..4255af5a 100644 --- a/FindEntryFunctions_8cpp_source.html +++ b/FindEntryFunctions_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEntryFunctions.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindEntryFunctions.cpp
@@ -245,7 +253,7 @@
162 if (entry_method_decl_ != nullptr) {
- +
163 tmp->setEntryMethod(entry_method_decl_);
164 // ef->entry_method_decl_ = entry_method_decl_;
165 }
166 }
@@ -304,10 +312,10 @@
213}
214
- +
219
@@ -323,7 +331,6 @@
void registerMatchers(MatchFinder &finder, clang::MemberExpr *process_expr)
- @@ -344,7 +351,6 @@
vector< CXXMethodDecl * > getOtherFunctions()
-
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
@@ -352,6 +358,7 @@
virtual bool VisitMemberExpr(MemberExpr *e)
+
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
@@ -369,7 +376,8 @@ + diff --git a/FindEntryFunctions_8h.html b/FindEntryFunctions_8h.html index 950be931..c9ddeb93 100644 --- a/FindEntryFunctions_8h.html +++ b/FindEntryFunctions_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEntryFunctions.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,90 +105,90 @@
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
@@ -184,15 +197,15 @@
- + - + - + @@ -203,8 +216,8 @@ - - + + @@ -212,7 +225,7 @@ - + @@ -228,14 +241,14 @@ - + - + @@ -243,7 +256,7 @@ - + @@ -260,13 +273,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindEntryFunctions_8h__dep__incl.map b/FindEntryFunctions_8h__dep__incl.map index bc568423..b19bf4ae 100644 --- a/FindEntryFunctions_8h__dep__incl.map +++ b/FindEntryFunctions_8h__dep__incl.map @@ -1,14 +1,14 @@ - + - + - + @@ -19,8 +19,8 @@ - - + + @@ -28,7 +28,7 @@ - + @@ -44,14 +44,14 @@ - + - + @@ -59,7 +59,7 @@ - + diff --git a/FindEntryFunctions_8h__dep__incl.png b/FindEntryFunctions_8h__dep__incl.png index 703557ee..78d8cf3c 100644 Binary files a/FindEntryFunctions_8h__dep__incl.png and b/FindEntryFunctions_8h__dep__incl.png differ diff --git a/FindEntryFunctions_8h__incl.map b/FindEntryFunctions_8h__incl.map index 8ef16667..96af58a7 100644 --- a/FindEntryFunctions_8h__incl.map +++ b/FindEntryFunctions_8h__incl.map @@ -1,86 +1,86 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/FindEntryFunctions_8h__incl.png b/FindEntryFunctions_8h__incl.png index e602a19a..6482315c 100644 Binary files a/FindEntryFunctions_8h__incl.png and b/FindEntryFunctions_8h__incl.png differ diff --git a/FindEntryFunctions_8h_source.html b/FindEntryFunctions_8h_source.html index 209d1bd2..2d7a8018 100644 --- a/FindEntryFunctions_8h_source.html +++ b/FindEntryFunctions_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEntryFunctions.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
FindEntryFunctions.h
@@ -100,10 +108,10 @@
14class FindEntryFunctions : public RecursiveASTVisitor<FindEntryFunctions> {
15public:
-
17 typedef std::vector<EntryFunctionContainer *> entryFunctionVectorType;
+
17 typedef std::vector<EntryFunctionContainer *> entryFunctionVectorType;
18
-
19 typedef std::pair<string, vector<string>> entryFunctionLHSPairType;
-
20 typedef std::map<string, vector<string>> entryFunctionLHSMapType;
+
19 typedef std::pair<string, vector<string>> entryFunctionLHSPairType;
+
20 typedef std::map<string, vector<string>> entryFunctionLHSMapType;
21
22 FindEntryFunctions(const clang::CXXRecordDecl *d, llvm::raw_ostream &os, clang::ASTContext &ctx);
23 virtual ~FindEntryFunctions();
@@ -117,7 +125,7 @@
32 CXXRecordDecl *getEntryCXXRecordDecl();
33 CXXMethodDecl *getEntryMethodDecl();
34 string getEntryName();
- +
36 vector<CXXMethodDecl *> getOtherFunctions();
37
38 void dump();
@@ -136,8 +144,8 @@
52 int pass_;
53 clang::CXXConstructorDecl* ctor_decl_;
- - + +
56 vector<CXXMethodDecl *> other_function_list_;
57 clang::MemberExpr* process_me_;
58
@@ -165,15 +173,16 @@
vector< CXXMethodDecl * > getOtherFunctions()
-
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
+
std::pair< string, vector< string > > entryFunctionLHSPairType
FindEntryFunctions(const clang::CXXRecordDecl *d, llvm::raw_ostream &os, clang::ASTContext &ctx)
virtual bool VisitMemberExpr(MemberExpr *e)
-
std::pair< string, vector< string > > entryFunctionLHSPairType
+
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
+
std::map< string, vector< string > > entryFunctionLHSMapType
@@ -182,14 +191,14 @@
clang::CXXConstructorDecl * ctor_decl_
entryFunctionVectorType * getEntryFunctions()
-
std::map< string, vector< string > > entryFunctionLHSMapType
Clang forward declarations.
Definition FindArgument.h:6
+ diff --git a/FindEvents_8cpp.html b/FindEvents_8cpp.html index 649cdf27..0dad9860 100644 --- a/FindEvents_8cpp.html +++ b/FindEvents_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEvents.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindEvents.cpp File Reference
@@ -87,30 +100,30 @@
- - - - - - - + + + + + + + - + - - - + + + - + - - - - + + + + - + - + @@ -125,7 +138,8 @@
+
diff --git a/FindEvents_8cpp__incl.map b/FindEvents_8cpp__incl.map index 2066e7f4..b493990e 100644 --- a/FindEvents_8cpp__incl.map +++ b/FindEvents_8cpp__incl.map @@ -1,28 +1,28 @@ - - - - - - - + + + + + + + - + - - - + + + - + - - - - + + + + - + - + diff --git a/FindEvents_8cpp__incl.png b/FindEvents_8cpp__incl.png index aaa48f7a..9ad84c2e 100644 Binary files a/FindEvents_8cpp__incl.png and b/FindEvents_8cpp__incl.png differ diff --git a/FindEvents_8cpp_source.html b/FindEvents_8cpp_source.html index 3ed70eee..0386b2d3 100644 --- a/FindEvents_8cpp_source.html +++ b/FindEvents_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEvents.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindEvents.cpp
@@ -121,7 +129,7 @@
28 if (clang::IdentifierInfo *info = fd->getIdentifier()) {
29 // os_ << "\n+ Name: " << info->getNameStart();
30 // os_ << "\n+ Type: " << q.getAsString();
-
31 _inClassEvents.insert(kvType(info->getNameStart(), fd));
+
31 _inClassEvents.insert(kvType(info->getNameStart(), fd));
32 }
33 }
34 return true;
@@ -129,7 +137,7 @@
36
- +
38 // FIXME: change to return data structure
39 return _inClassEvents;
40}
@@ -160,9 +168,9 @@ -
std::map< std::string, clang::FieldDecl * > classEventMapType
typedefs
Definition FindEvents.h:20
+
std::map< std::string, clang::FieldDecl * > classEventMapType
typedefs
Definition FindEvents.h:20
void dump()
Print methods.
-
std::pair< std::string, clang::FieldDecl * > kvType
Definition FindEvents.h:21
+
std::pair< std::string, clang::FieldDecl * > kvType
Definition FindEvents.h:21
llvm::raw_ostream & os_
Definition FindEvents.h:37
classEventMapType _inClassEvents
Definition FindEvents.h:38
FindEvents(clang::CXXRecordDecl *, llvm::raw_ostream &)
Definition FindEvents.cpp:9
@@ -174,7 +182,8 @@
+ diff --git a/FindEvents_8h.html b/FindEvents_8h.html index 2af2e21d..b6c5a586 100644 --- a/FindEvents_8h.html +++ b/FindEvents_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEvents.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,7 +105,7 @@
- + @@ -110,62 +123,62 @@
- - + + - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + +
@@ -178,16 +191,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindEvents_8h__dep__incl.map b/FindEvents_8h__dep__incl.map index 43a94c3f..9918d588 100644 --- a/FindEvents_8h__dep__incl.map +++ b/FindEvents_8h__dep__incl.map @@ -1,58 +1,58 @@ - - + + - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + + diff --git a/FindEvents_8h__dep__incl.png b/FindEvents_8h__dep__incl.png index e8afe239..867c4be5 100644 Binary files a/FindEvents_8h__dep__incl.png and b/FindEvents_8h__dep__incl.png differ diff --git a/FindEvents_8h__incl.map b/FindEvents_8h__incl.map index c2e2dcaa..85bba928 100644 --- a/FindEvents_8h__incl.map +++ b/FindEvents_8h__incl.map @@ -1,5 +1,5 @@ - + diff --git a/FindEvents_8h_source.html b/FindEvents_8h_source.html index b17a199e..e5f90012 100644 --- a/FindEvents_8h_source.html +++ b/FindEvents_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindEvents.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
FindEvents.h
@@ -103,22 +111,22 @@
17class FindEvents : public clang::RecursiveASTVisitor<FindEvents> {
18public:
-
20 typedef std::map<std::string, clang::FieldDecl *> classEventMapType;
-
21 typedef std::pair<std::string, clang::FieldDecl *> kvType;
+
20 typedef std::map<std::string, clang::FieldDecl *> classEventMapType;
+
21 typedef std::pair<std::string, clang::FieldDecl *> kvType;
22
23 FindEvents(clang::CXXRecordDecl *, llvm::raw_ostream &);
24 FindEvents(const FindEvents &);
25 virtual ~FindEvents();
27 virtual bool VisitFieldDecl(clang::FieldDecl *);
28
- +
31 std::vector<std::string> getEventNames();
32
34 void dump();
35
36private:
37 llvm::raw_ostream &os_;
- +
39 // reflectionDataStructure * _reflectionMap;
40};
@@ -126,9 +134,9 @@
42} // namespace systemc_clang
43#endif
-
std::map< std::string, clang::FieldDecl * > classEventMapType
typedefs
Definition FindEvents.h:20
+
std::map< std::string, clang::FieldDecl * > classEventMapType
typedefs
Definition FindEvents.h:20
void dump()
Print methods.
-
std::pair< std::string, clang::FieldDecl * > kvType
Definition FindEvents.h:21
+
std::pair< std::string, clang::FieldDecl * > kvType
Definition FindEvents.h:21
llvm::raw_ostream & os_
Definition FindEvents.h:37
classEventMapType _inClassEvents
Definition FindEvents.h:38
FindEvents(clang::CXXRecordDecl *, llvm::raw_ostream &)
Definition FindEvents.cpp:9
@@ -141,7 +149,8 @@
+ diff --git a/FindGPUMacro_8cpp.html b/FindGPUMacro_8cpp.html index 19005b8c..de830c49 100644 --- a/FindGPUMacro_8cpp.html +++ b/FindGPUMacro_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/FindGPUMacro.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindGPUMacro.cpp File Reference
@@ -108,7 +121,8 @@
+ diff --git a/FindGPUMacro_8cpp_source.html b/FindGPUMacro_8cpp_source.html index 7a5339c4..eb0d58ff 100644 --- a/FindGPUMacro_8cpp_source.html +++ b/FindGPUMacro_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/FindGPUMacro.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindGPUMacro.cpp
@@ -239,10 +247,10 @@
145 //_os <<"\n instance num : " <<_instanceNum<<" " <<instanceNum;
146 GPUMacro *gm = new GPUMacro(bx, by, bz, tx, ty, tz, gpu_time, cpu_time);
147 //_os <<"\n for stmt : " <<fstmt;
-
148 forStmtInstanceIdPairType forStmtInstanceId =
+
148 forStmtInstanceIdPairType forStmtInstanceId =
149 make_pair(_instanceNum, fstmt);
150 _forStmtGPUMacroMap.insert(
-
151 forStmtGPUMacroPairType(forStmtInstanceId, gm));
+
151 forStmtGPUMacroPairType(forStmtInstanceId, gm));
152 break;
153 }
154 }
@@ -251,7 +259,7 @@
157
- +
159
160 return _forStmtGPUMacroMap;
161}
@@ -439,15 +447,15 @@
CXXMethodDecl * _entryFunction
-
map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
-
pair< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroPairType
-
pair< int, ForStmt * > forStmtInstanceIdPairType
std::set< ValueDecl * > lhs_decls
void analyze_array_base(Expr *base, bool isLHS)
virtual bool VisitForStmt(ForStmt *)
+
pair< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroPairType
+
map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
+
pair< int, ForStmt * > forStmtInstanceIdPairType
FindGPUMacro(CXXMethodDecl *, int, raw_ostream &)
@@ -478,7 +486,8 @@
+ diff --git a/FindGPUMacro_8h.html b/FindGPUMacro_8h.html index 7b6c8025..c53ac682 100644 --- a/FindGPUMacro_8h.html +++ b/FindGPUMacro_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/FindGPUMacro.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -110,43 +123,43 @@
- - - + + + - - - + + + - + - + - + - + - - + + - + - + - + - + - + @@ -175,13 +188,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindGPUMacro_8h__dep__incl.map b/FindGPUMacro_8h__dep__incl.map index b6ea2ab9..260b3a80 100644 --- a/FindGPUMacro_8h__dep__incl.map +++ b/FindGPUMacro_8h__dep__incl.map @@ -1,41 +1,41 @@ - - - + + + - - - + + + - + - + - + - + - - + + - + - + - + - + - + diff --git a/FindGPUMacro_8h__dep__incl.png b/FindGPUMacro_8h__dep__incl.png index deead0cd..01b52b11 100644 Binary files a/FindGPUMacro_8h__dep__incl.png and b/FindGPUMacro_8h__dep__incl.png differ diff --git a/FindGPUMacro_8h_source.html b/FindGPUMacro_8h_source.html index e517c7c1..70d892dd 100644 --- a/FindGPUMacro_8h_source.html +++ b/FindGPUMacro_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/FindGPUMacro.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
FindGPUMacro.h
@@ -135,18 +143,18 @@
47class FindGPUMacro : public RecursiveASTVisitor<FindGPUMacro> {
48public:
-
49 typedef pair<int, ForStmt *> forStmtInstanceIdPairType;
-
50 typedef map<int, ForStmt *> forStmtInstanceIdMapType;
+
49 typedef pair<int, ForStmt *> forStmtInstanceIdPairType;
+
50 typedef map<int, ForStmt *> forStmtInstanceIdMapType;
51
-
52 typedef pair<forStmtInstanceIdPairType, GPUMacro *> forStmtGPUMacroPairType;
-
53 typedef map<forStmtInstanceIdPairType, GPUMacro *> forStmtGPUMacroMapType;
+
52 typedef pair<forStmtInstanceIdPairType, GPUMacro *> forStmtGPUMacroPairType;
+
53 typedef map<forStmtInstanceIdPairType, GPUMacro *> forStmtGPUMacroMapType;
54
55 FindGPUMacro(CXXMethodDecl *, int, raw_ostream &);
56 virtual ~FindGPUMacro();
57 // ANI : Need to add other loops as well.....
58 virtual bool VisitForStmt(ForStmt *);
59
- +
61
62 void dump();
63
@@ -166,7 +174,7 @@
77 void analyze_value_decl(ValueDecl *val);
78
79private:
- +
81 CXXMethodDecl *_entryFunction;
83 raw_ostream &_os;
@@ -187,16 +195,16 @@
CXXMethodDecl * _entryFunction
-
map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
-
pair< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroPairType
-
pair< int, ForStmt * > forStmtInstanceIdPairType
std::set< ValueDecl * > lhs_decls
void analyze_array_base(Expr *base, bool isLHS)
-
map< int, ForStmt * > forStmtInstanceIdMapType
virtual bool VisitForStmt(ForStmt *)
+
pair< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroPairType
+
map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
+
map< int, ForStmt * > forStmtInstanceIdMapType
+
pair< int, ForStmt * > forStmtInstanceIdPairType
FindGPUMacro(CXXMethodDecl *, int, raw_ostream &)
@@ -228,7 +236,8 @@
+ diff --git a/FindGlobalEvents_8cpp.html b/FindGlobalEvents_8cpp.html index 4fbc3911..4d204b3a 100644 --- a/FindGlobalEvents_8cpp.html +++ b/FindGlobalEvents_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindGlobalEvents.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindGlobalEvents.cpp File Reference
@@ -108,7 +121,8 @@
+ diff --git a/FindGlobalEvents_8cpp_source.html b/FindGlobalEvents_8cpp_source.html index 4ceb17e6..7c805819 100644 --- a/FindGlobalEvents_8cpp_source.html +++ b/FindGlobalEvents_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindGlobalEvents.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindGlobalEvents.cpp
@@ -105,7 +113,7 @@
16
17 if (variable_type.getAsString() == "class sc_core::sc_event") {
18 if (clang::IdentifierInfo *info = variable_declaration->getIdentifier()) {
-
19 _globalEvents.insert(kvType(info->getNameStart(), variable_declaration));
+
19 _globalEvents.insert(kvType(info->getNameStart(), variable_declaration));
20 }
21 }
22 return true;
@@ -113,7 +121,7 @@
24
@@ -152,17 +160,18 @@
FindGlobalEvents(clang::TranslationUnitDecl *, llvm::raw_ostream &)
virtual bool VisitVarDecl(clang::VarDecl *)
+
std::map< std::string, clang::VarDecl * > globalEventMapType
-
std::map< std::string, clang::VarDecl * > globalEventMapType
+
std::pair< std::string, clang::VarDecl * > kvType
-
std::pair< std::string, clang::VarDecl * > kvType
+ diff --git a/FindGlobalEvents_8h.html b/FindGlobalEvents_8h.html index ac8514dc..a6a87eb4 100644 --- a/FindGlobalEvents_8h.html +++ b/FindGlobalEvents_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindGlobalEvents.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -119,7 +132,7 @@ - + @@ -129,22 +142,22 @@ - - + + - + - + - + - + - + - + @@ -154,7 +167,7 @@ - + @@ -179,16 +192,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindGlobalEvents_8h__dep__incl.map b/FindGlobalEvents_8h__dep__incl.map index a089354a..bc03bfef 100644 --- a/FindGlobalEvents_8h__dep__incl.map +++ b/FindGlobalEvents_8h__dep__incl.map @@ -8,7 +8,7 @@ - + @@ -18,22 +18,22 @@ - - + + - + - + - + - + - + - + @@ -43,7 +43,7 @@ - + diff --git a/FindGlobalEvents_8h__dep__incl.png b/FindGlobalEvents_8h__dep__incl.png index 1d00c8aa..229f1f3e 100644 Binary files a/FindGlobalEvents_8h__dep__incl.png and b/FindGlobalEvents_8h__dep__incl.png differ diff --git a/FindGlobalEvents_8h_source.html b/FindGlobalEvents_8h_source.html index b8196865..b9b28331 100644 --- a/FindGlobalEvents_8h_source.html +++ b/FindGlobalEvents_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindGlobalEvents.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
FindGlobalEvents.h
@@ -105,15 +113,15 @@
20class FindGlobalEvents : public clang::RecursiveASTVisitor<FindGlobalEvents> {
21 public:
-
22 typedef std::map<std::string, clang::VarDecl *> globalEventMapType;
-
23 typedef std::pair<std::string, clang::VarDecl *> kvType;
+
22 typedef std::map<std::string, clang::VarDecl *> globalEventMapType;
+
23 typedef std::pair<std::string, clang::VarDecl *> kvType;
24
25 FindGlobalEvents(clang::TranslationUnitDecl *, llvm::raw_ostream &);
26 virtual ~FindGlobalEvents();
27
28 virtual bool VisitVarDecl(clang::VarDecl *);
29
- +
31 std::vector<std::string> getEventNames();
32
33 void dump();
@@ -121,7 +129,7 @@
35
36 private:
37 llvm::raw_ostream &_os;
- +
39};
40} // namespace systemc_clang
@@ -132,18 +140,19 @@
FindGlobalEvents(clang::TranslationUnitDecl *, llvm::raw_ostream &)
virtual bool VisitVarDecl(clang::VarDecl *)
+
std::map< std::string, clang::VarDecl * > globalEventMapType
-
std::map< std::string, clang::VarDecl * > globalEventMapType
+
std::pair< std::string, clang::VarDecl * > kvType
-
std::pair< std::string, clang::VarDecl * > kvType
Clang forward declarations.
Definition FindArgument.h:6
+ diff --git a/FindMemberFieldMatcher_8h.html b/FindMemberFieldMatcher_8h.html index a5a6239f..0fbf31d1 100644 --- a/FindMemberFieldMatcher_8h.html +++ b/FindMemberFieldMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindMemberFieldMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -95,13 +108,13 @@ - + - + - + - +
@@ -125,16 +138,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  sc_ast_matchers
namespace  sc_ast_matchers
 
+
diff --git a/FindMemberFieldMatcher_8h__incl.map b/FindMemberFieldMatcher_8h__incl.map index c211730a..a4b28c6e 100644 --- a/FindMemberFieldMatcher_8h__incl.map +++ b/FindMemberFieldMatcher_8h__incl.map @@ -2,12 +2,12 @@ - + - + - + - +
diff --git a/FindMemberFieldMatcher_8h_source.html b/FindMemberFieldMatcher_8h_source.html index 072a5bdf..f6e87f2e 100644 --- a/FindMemberFieldMatcher_8h_source.html +++ b/FindMemberFieldMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindMemberFieldMatcher.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindMemberFieldMatcher.h
@@ -159,7 +167,8 @@
+ diff --git a/FindNetlist_8cpp.html b/FindNetlist_8cpp.html index 02a40c06..625c0112 100644 --- a/FindNetlist_8cpp.html +++ b/FindNetlist_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNetlist.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindNetlist.cpp File Reference
@@ -103,7 +116,8 @@
+ diff --git a/FindNetlist_8cpp_source.html b/FindNetlist_8cpp_source.html index a0defad1..18e83f22 100644 --- a/FindNetlist_8cpp_source.html +++ b/FindNetlist_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNetlist.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindNetlist.cpp
@@ -119,7 +127,7 @@
26 vector<string> instanceList;
27 instanceList.push_back(instanceName);
-
29 instanceListModulePairType(moduleName, instanceList));
+
29 instanceListModulePairType(moduleName, instanceList));
30 } else {
31 instanceListModuleMapType::iterator instanceListModuleMapFound =
32 inst_list_module_map_.find(moduleName);
@@ -127,7 +135,7 @@
34 instanceList.push_back(instanceName);
35 inst_list_module_map_.erase(moduleName);
-
37 instanceListModulePairType(moduleName, instanceList));
+
37 instanceListModulePairType(moduleName, instanceList));
38 }
39}
@@ -165,21 +173,21 @@
70 }
71
72 if (inst_module_map_.find(instanceName) == inst_module_map_.end()) {
-
73 inst_module_map_.insert(instanceModulePairType(instanceName, moduleName));
+
73 inst_module_map_.insert(instanceModulePairType(instanceName, moduleName));
74 port_signal_map_.clear();
75 updateInstanceListModuleMap(instanceName, moduleName);
76 }
77
-
78 port_signal_map_.insert(portSignalPairType(portName, signalName));
+
78 port_signal_map_.insert(portSignalPairType(portName, signalName));
79
80 if (inst_port_signal_map_.find(instanceName) ==
- +
84 } else {
85 inst_port_signal_map_.erase(instanceName);
- +
88 }
89
90 return true;
@@ -202,12 +210,12 @@
105
109
- +
114
118
- +
@@ -242,7 +250,7 @@
135 inst_port_signal_map_.end()) {
136 instancePortSignalMapType::iterator instancePortSignalMapFound =
137 inst_port_signal_map_.find(instanceName);
-
138 portSignalMapType portSignalMap = instancePortSignalMapFound->second;
+
138 portSignalMapType portSignalMap = instancePortSignalMapFound->second;
139 for (portSignalMapType::iterator pit = portSignalMap.begin(),
140 pite = portSignalMap.end();
141 pit != pite; pit++) {
@@ -260,33 +268,34 @@
virtual bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *ce)
-
map< string, portSignalMapType > instancePortSignalMapType
Definition FindNetlist.h:31
void updateInstanceListModuleMap(const std::string &, const std::string &)
const instancePortSignalMapType & getInstancePortSignalMap()
-
pair< string, string > portSignalPairType
Definition FindNetlist.h:27
portSignalMapType port_signal_map_
Definition FindNetlist.h:51
+
pair< string, vector< string > > instanceListModulePairType
Definition FindNetlist.h:33
instanceListModuleMapType inst_list_module_map_
Definition FindNetlist.h:53
+
pair< string, string > portSignalPairType
Definition FindNetlist.h:27
const portSignalMapType & getPortSignalMap()
-
map< string, string > instanceModuleMapType
Definition FindNetlist.h:25
const instanceListModuleMapType & getInstanceListModuleMap()
+
pair< string, string > instanceModulePairType
Definition FindNetlist.h:24
instancePortSignalMapType inst_port_signal_map_
Definition FindNetlist.h:52
-
pair< string, string > instanceModulePairType
Definition FindNetlist.h:24
-
map< string, vector< string > > instanceListModuleMapType
Definition FindNetlist.h:34
+
map< string, portSignalMapType > instancePortSignalMapType
Definition FindNetlist.h:31
+
pair< string, portSignalMapType > instancePortSignalPairType
Definition FindNetlist.h:30
instanceModuleMapType inst_module_map_
Definition FindNetlist.h:50
const instanceModuleMapType & getInstanceModuleMap()
-
pair< string, portSignalMapType > instancePortSignalPairType
Definition FindNetlist.h:30
-
map< string, string > portSignalMapType
Definition FindNetlist.h:28
FindNetlist(FunctionDecl *)
-
pair< string, vector< string > > instanceListModulePairType
Definition FindNetlist.h:33
+
map< string, vector< string > > instanceListModuleMapType
Definition FindNetlist.h:34
int getNumInstances(std::string)
+
map< string, string > instanceModuleMapType
Definition FindNetlist.h:25
+
map< string, string > portSignalMapType
Definition FindNetlist.h:28
+ diff --git a/FindNetlist_8h.html b/FindNetlist_8h.html index 708b21ff..21beacd7 100644 --- a/FindNetlist_8h.html +++ b/FindNetlist_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNetlist.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -108,7 +121,7 @@
- + @@ -116,7 +129,7 @@ - + @@ -126,22 +139,22 @@ - - + + - + - + - + - + - + - + @@ -151,7 +164,7 @@ - + @@ -176,13 +189,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindNetlist_8h__dep__incl.map b/FindNetlist_8h__dep__incl.map index 3bf49b42..1bc22fee 100644 --- a/FindNetlist_8h__dep__incl.map +++ b/FindNetlist_8h__dep__incl.map @@ -1,6 +1,6 @@ - + @@ -8,7 +8,7 @@ - + @@ -18,22 +18,22 @@ - - + + - + - + - + - + - + - + @@ -43,7 +43,7 @@ - + diff --git a/FindNetlist_8h__dep__incl.png b/FindNetlist_8h__dep__incl.png index 31cb4678..076bd0f5 100644 Binary files a/FindNetlist_8h__dep__incl.png and b/FindNetlist_8h__dep__incl.png differ diff --git a/FindNetlist_8h_source.html b/FindNetlist_8h_source.html index 46844b31..73ef5a64 100644 --- a/FindNetlist_8h_source.html +++ b/FindNetlist_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNetlist.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
FindNetlist.h
@@ -108,70 +116,71 @@
21 virtual ~FindNetlist();
22
23public:
-
24 typedef pair<string, string> instanceModulePairType;
-
25 typedef map<string, string> instanceModuleMapType;
+
24 typedef pair<string, string> instanceModulePairType;
+
25 typedef map<string, string> instanceModuleMapType;
26
-
27 typedef pair<string, string> portSignalPairType;
-
28 typedef map<string, string> portSignalMapType;
+
27 typedef pair<string, string> portSignalPairType;
+
28 typedef map<string, string> portSignalMapType;
29
-
30 typedef pair<string, portSignalMapType> instancePortSignalPairType;
-
31 typedef map<string, portSignalMapType> instancePortSignalMapType;
+
30 typedef pair<string, portSignalMapType> instancePortSignalPairType;
+
31 typedef map<string, portSignalMapType> instancePortSignalMapType;
32
-
33 typedef pair<string, vector<string>> instanceListModulePairType;
-
34 typedef map<string, vector<string>> instanceListModuleMapType;
+
33 typedef pair<string, vector<string>> instanceListModulePairType;
+
34 typedef map<string, vector<string>> instanceListModuleMapType;
35
36public:
37 virtual bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *ce);
38
39 void updateInstanceListModuleMap(const std::string &, const std::string &);
40
- - - - + + + +
45 int getNumInstances(std::string);
46 void dump();
47
48private:
49 int _pass;
- - - - + + + +
54};
55} // namespace systemc_clang
56#endif
virtual bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *ce)
-
map< string, portSignalMapType > instancePortSignalMapType
Definition FindNetlist.h:31
void updateInstanceListModuleMap(const std::string &, const std::string &)
const instancePortSignalMapType & getInstancePortSignalMap()
-
pair< string, string > portSignalPairType
Definition FindNetlist.h:27
portSignalMapType port_signal_map_
Definition FindNetlist.h:51
+
pair< string, vector< string > > instanceListModulePairType
Definition FindNetlist.h:33
instanceListModuleMapType inst_list_module_map_
Definition FindNetlist.h:53
+
pair< string, string > portSignalPairType
Definition FindNetlist.h:27
const portSignalMapType & getPortSignalMap()
-
map< string, string > instanceModuleMapType
Definition FindNetlist.h:25
const instanceListModuleMapType & getInstanceListModuleMap()
+
pair< string, string > instanceModulePairType
Definition FindNetlist.h:24
instancePortSignalMapType inst_port_signal_map_
Definition FindNetlist.h:52
-
pair< string, string > instanceModulePairType
Definition FindNetlist.h:24
-
map< string, vector< string > > instanceListModuleMapType
Definition FindNetlist.h:34
+
map< string, portSignalMapType > instancePortSignalMapType
Definition FindNetlist.h:31
+
pair< string, portSignalMapType > instancePortSignalPairType
Definition FindNetlist.h:30
instanceModuleMapType inst_module_map_
Definition FindNetlist.h:50
const instanceModuleMapType & getInstanceModuleMap()
-
pair< string, portSignalMapType > instancePortSignalPairType
Definition FindNetlist.h:30
-
map< string, string > portSignalMapType
Definition FindNetlist.h:28
FindNetlist(FunctionDecl *)
-
pair< string, vector< string > > instanceListModulePairType
Definition FindNetlist.h:33
+
map< string, vector< string > > instanceListModuleMapType
Definition FindNetlist.h:34
int getNumInstances(std::string)
+
map< string, string > instanceModuleMapType
Definition FindNetlist.h:25
+
map< string, string > portSignalMapType
Definition FindNetlist.h:28
Clang forward declarations.
Definition FindArgument.h:6
+ diff --git a/FindNotify_8cpp.html b/FindNotify_8cpp.html index d9e292ae..cfd2ea78 100644 --- a/FindNotify_8cpp.html +++ b/FindNotify_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNotify.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindNotify.cpp File Reference
@@ -111,7 +124,8 @@
+ diff --git a/FindNotify_8cpp_source.html b/FindNotify_8cpp_source.html index 89dbb299..cb096283 100644 --- a/FindNotify_8cpp_source.html +++ b/FindNotify_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNotify.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindNotify.cpp
@@ -200,7 +208,8 @@
+ diff --git a/FindNotify_8h.html b/FindNotify_8h.html index 1dfa1611..c0ef2c42 100644 --- a/FindNotify_8h.html +++ b/FindNotify_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNotify.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -101,93 +114,93 @@
- - - - - - + + + + + + - - - - - - - - - - - - + + + + + + + + + + + + - - - - - + + + + + - + - - - - - + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - + + + + + + + + + - - - - + + + + - - + + - - - - - + + + + + - - - - - - + + + + + + - + - +
@@ -200,16 +213,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindNotify_8h__dep__incl.map b/FindNotify_8h__dep__incl.map index c98cbb0b..2a788da8 100644 --- a/FindNotify_8h__dep__incl.map +++ b/FindNotify_8h__dep__incl.map @@ -1,89 +1,89 @@ - - - - - - + + + + + + - - - - - - - - - - - - + + + + + + + + + + + + - - - - - + + + + + - + - - - - - + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - + + + + + + + + + - - - - + + + + - - + + - - - - - + + + + + - - - - - - + + + + + + - + - + diff --git a/FindNotify_8h__dep__incl.png b/FindNotify_8h__dep__incl.png index 17f5321e..6944d0a5 100644 Binary files a/FindNotify_8h__dep__incl.png and b/FindNotify_8h__dep__incl.png differ diff --git a/FindNotify_8h_source.html b/FindNotify_8h_source.html index d5dc7847..3a995899 100644 --- a/FindNotify_8h_source.html +++ b/FindNotify_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindNotify.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindNotify.h
@@ -144,7 +152,8 @@
+ diff --git a/FindSCMain_8cpp.html b/FindSCMain_8cpp.html index 42c0b80d..902133b3 100644 --- a/FindSCMain_8cpp.html +++ b/FindSCMain_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSCMain.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindSCMain.cpp File Reference
@@ -86,7 +99,7 @@
- + @@ -102,7 +115,8 @@
+
diff --git a/FindSCMain_8cpp__incl.map b/FindSCMain_8cpp__incl.map index 404f1298..8429e061 100644 --- a/FindSCMain_8cpp__incl.map +++ b/FindSCMain_8cpp__incl.map @@ -1,5 +1,5 @@ - + diff --git a/FindSCMain_8cpp_source.html b/FindSCMain_8cpp_source.html index ced54d86..49cea791 100644 --- a/FindSCMain_8cpp_source.html +++ b/FindSCMain_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSCMain.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindSCMain.cpp
@@ -137,7 +145,8 @@
+ diff --git a/FindSCMain_8h.html b/FindSCMain_8h.html index bd2d4957..ec0edcd7 100644 --- a/FindSCMain_8h.html +++ b/FindSCMain_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSCMain.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -101,48 +114,48 @@
- + - + - - - - + + + + - - - - - - - - - - + + + + + + + + + + - + - - - - - - - - - - + + + + + + + + + + - + - - - - - - + + + + + +
@@ -155,16 +168,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindSCMain_8h__dep__incl.map b/FindSCMain_8h__dep__incl.map index 7e3079b0..6c2a30af 100644 --- a/FindSCMain_8h__dep__incl.map +++ b/FindSCMain_8h__dep__incl.map @@ -1,44 +1,44 @@ - + - + - - - - + + + + - - - - - - - - - - + + + + + + + + + + - + - - - - - - - - - - + + + + + + + + + + - + - - - - - - + + + + + + diff --git a/FindSCMain_8h__dep__incl.png b/FindSCMain_8h__dep__incl.png index 81a076d8..4ad9cbd1 100644 Binary files a/FindSCMain_8h__dep__incl.png and b/FindSCMain_8h__dep__incl.png differ diff --git a/FindSCMain_8h_source.html b/FindSCMain_8h_source.html index e5287c16..0312118e 100644 --- a/FindSCMain_8h_source.html +++ b/FindSCMain_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSCMain.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindSCMain.h
@@ -127,7 +135,8 @@
+ diff --git a/FindSimTime_8cpp.html b/FindSimTime_8cpp.html index e9e32870..08f88524 100644 --- a/FindSimTime_8cpp.html +++ b/FindSimTime_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSimTime.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindSimTime.cpp File Reference
@@ -86,13 +99,13 @@
- + - + @@ -102,7 +115,7 @@ - + @@ -114,7 +127,8 @@
+ diff --git a/FindSimTime_8cpp__incl.map b/FindSimTime_8cpp__incl.map index 6c47a748..af0bccfa 100644 --- a/FindSimTime_8cpp__incl.map +++ b/FindSimTime_8cpp__incl.map @@ -1,12 +1,12 @@ - + - + @@ -16,7 +16,7 @@ - + diff --git a/FindSimTime_8cpp_source.html b/FindSimTime_8cpp_source.html index d1f9f8b4..1acfbd07 100644 --- a/FindSimTime_8cpp_source.html +++ b/FindSimTime_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSimTime.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindSimTime.cpp
@@ -112,7 +120,7 @@
23 // os_ <<"\n Simulation time is :" << getArgumentName(c->getArg(0));
24 if (c->getNumArgs() > 1) {
25 // os_ << " " << getArgumentName(c->getArg(1));
- +
27 getArgumentName(c->getArg(0)), getArgumentName(c->getArg(1))));
28 }
29 }
@@ -141,14 +149,14 @@
51*/
52
-
pair< string, string > simulationTimePairType
Definition FindSimTime.h:17
+
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
+
pair< string, string > simulationTimePairType
Definition FindSimTime.h:17
-
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
simulationTimeMapType simulation_time_
Definition FindSimTime.h:29
virtual bool VisitCallExpr(CallExpr *c)
FindSimTime(FunctionDecl *, llvm::raw_ostream &)
@@ -158,7 +166,8 @@
+ diff --git a/FindSimTime_8h.html b/FindSimTime_8h.html index f5bd221e..40e8e14d 100644 --- a/FindSimTime_8h.html +++ b/FindSimTime_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSimTime.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -93,12 +106,12 @@
- + - + @@ -108,7 +121,7 @@ - + @@ -121,7 +134,7 @@
- + @@ -131,7 +144,7 @@ - + @@ -140,29 +153,29 @@ - + - + - + - + - + - + - + - + @@ -172,7 +185,7 @@ - + @@ -190,13 +203,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindSimTime_8h__dep__incl.map b/FindSimTime_8h__dep__incl.map index efe9a5f4..c3f34baf 100644 --- a/FindSimTime_8h__dep__incl.map +++ b/FindSimTime_8h__dep__incl.map @@ -1,6 +1,6 @@ - + @@ -10,7 +10,7 @@ - + @@ -19,29 +19,29 @@ - + - + - + - + - + - + - + - + @@ -51,7 +51,7 @@ - + diff --git a/FindSimTime_8h__dep__incl.png b/FindSimTime_8h__dep__incl.png index bbaef9b4..8fb0b26e 100644 Binary files a/FindSimTime_8h__dep__incl.png and b/FindSimTime_8h__dep__incl.png differ diff --git a/FindSimTime_8h__incl.map b/FindSimTime_8h__incl.map index c47b9917..70f99cc2 100644 --- a/FindSimTime_8h__incl.map +++ b/FindSimTime_8h__incl.map @@ -1,10 +1,10 @@ - + - + @@ -14,7 +14,7 @@ - + diff --git a/FindSimTime_8h_source.html b/FindSimTime_8h_source.html index e9370326..8661d558 100644 --- a/FindSimTime_8h_source.html +++ b/FindSimTime_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindSimTime.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
FindSimTime.h
@@ -101,19 +109,19 @@
15class FindSimTime : public RecursiveASTVisitor<FindSimTime>, public Utility {
16public:
-
17 typedef pair<string, string> simulationTimePairType;
-
18 typedef map<string, string> simulationTimeMapType;
+
17 typedef pair<string, string> simulationTimePairType;
+
18 typedef map<string, string> simulationTimeMapType;
19
20 FindSimTime(FunctionDecl *, llvm::raw_ostream &);
21 virtual ~FindSimTime();
22 virtual bool VisitCallExpr(CallExpr *c);
23
- +
25
26private:
27 llvm::raw_ostream &os_;
28 // FunctionDecl *_sigInst;
- +
30 // CallExpr *call_expr_;
31};
@@ -121,9 +129,9 @@
33#endif
A set of utility functions in the Utility class.
-
pair< string, string > simulationTimePairType
Definition FindSimTime.h:17
+
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
+
pair< string, string > simulationTimePairType
Definition FindSimTime.h:17
-
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
simulationTimeMapType simulation_time_
Definition FindSimTime.h:29
virtual bool VisitCallExpr(CallExpr *c)
llvm::raw_ostream & os_
Definition FindSimTime.h:27
@@ -135,7 +143,8 @@
+ diff --git a/FindTLMInterfaces_8cpp.html b/FindTLMInterfaces_8cpp.html index 4fda7042..e785265f 100644 --- a/FindTLMInterfaces_8cpp.html +++ b/FindTLMInterfaces_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTLMInterfaces.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindTLMInterfaces.cpp File Reference
@@ -94,12 +107,12 @@ - - + + - + @@ -108,8 +121,8 @@ - - + + @@ -120,7 +133,8 @@
+ diff --git a/FindTLMInterfaces_8cpp__incl.map b/FindTLMInterfaces_8cpp__incl.map index 5cc58fda..2cf2eda7 100644 --- a/FindTLMInterfaces_8cpp__incl.map +++ b/FindTLMInterfaces_8cpp__incl.map @@ -7,12 +7,12 @@ - - + + - + @@ -21,8 +21,8 @@ - - + + diff --git a/FindTLMInterfaces_8cpp__incl.png b/FindTLMInterfaces_8cpp__incl.png index 95c8986c..f0446018 100644 Binary files a/FindTLMInterfaces_8cpp__incl.png and b/FindTLMInterfaces_8cpp__incl.png differ diff --git a/FindTLMInterfaces_8cpp_source.html b/FindTLMInterfaces_8cpp_source.html index 89373e6d..8711d740 100644 --- a/FindTLMInterfaces_8cpp_source.html +++ b/FindTLMInterfaces_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTLMInterfaces.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindTLMInterfaces.cpp
@@ -96,19 +104,19 @@
9
13
17
@@ -127,7 +135,7 @@
32
33 te->Enumerate(tp);
34
-
35 FindTemplateTypes::type_vector_t args = te->getTemplateArgumentsType();
+
35 FindTemplateTypes::type_vector_t args = te->getTemplateArgumentsType();
36 FindTemplateTypes::argVectorType::iterator ait = args.begin();
37 if (args.size() == 0) {
38 return true;
@@ -137,15 +145,15 @@
42 // first one?
43 string template_type_name{ait->getTypeName()};
44 if (template_type_name == "sc_fifo_in") {
-
45 _inInterfaces.insert(kvType(fname, te));
+
45 _inInterfaces.insert(kvType(fname, te));
46 }
47
48 else if (template_type_name == "sc_fifo_out") {
-
49 _outInterfaces.insert(kvType(fname, te));
+
49 _outInterfaces.insert(kvType(fname, te));
50 }
51
52 else if (template_type_name == "sc_fifo_inout") {
-
53 _inoutInterfaces.insert(kvType(fname, te));
+
53 _inoutInterfaces.insert(kvType(fname, te));
54 }
55
56 else {
@@ -216,7 +224,7 @@ -
std::map< string, FindTemplateTypes * > interfaceType
+
std::pair< string, FindTemplateTypes * > kvType
virtual bool VisitFieldDecl(FieldDecl *)
@@ -224,15 +232,16 @@
FindTLMInterfaces(CXXRecordDecl *, llvm::raw_ostream &)
-
std::pair< string, FindTemplateTypes * > kvType
+
std::map< string, FindTemplateTypes * > interfaceType
-
std::vector< TemplateTypePtr > type_vector_t
+
std::vector< TemplateTypePtr > type_vector_t
void Enumerate(const clang::Type *type)
+ diff --git a/FindTLMInterfaces_8h.html b/FindTLMInterfaces_8h.html index 8c32c221..ae6d264e 100644 --- a/FindTLMInterfaces_8h.html +++ b/FindTLMInterfaces_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTLMInterfaces.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -125,12 +138,12 @@ - + - + @@ -141,8 +154,8 @@ - - + + @@ -150,7 +163,7 @@ - + @@ -166,14 +179,14 @@ - + - + @@ -181,7 +194,7 @@ - + @@ -198,13 +211,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindTLMInterfaces_8h__dep__incl.map b/FindTLMInterfaces_8h__dep__incl.map index 344de34a..f896c9ee 100644 --- a/FindTLMInterfaces_8h__dep__incl.map +++ b/FindTLMInterfaces_8h__dep__incl.map @@ -3,12 +3,12 @@ - + - + @@ -19,8 +19,8 @@ - - + + @@ -28,7 +28,7 @@ - + @@ -44,14 +44,14 @@ - + - + @@ -59,7 +59,7 @@ - + diff --git a/FindTLMInterfaces_8h__dep__incl.png b/FindTLMInterfaces_8h__dep__incl.png index f211ba9b..5816a20c 100644 Binary files a/FindTLMInterfaces_8h__dep__incl.png and b/FindTLMInterfaces_8h__dep__incl.png differ diff --git a/FindTLMInterfaces_8h_source.html b/FindTLMInterfaces_8h_source.html index 5940785b..bbdebd4f 100644 --- a/FindTLMInterfaces_8h_source.html +++ b/FindTLMInterfaces_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTLMInterfaces.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
FindTLMInterfaces.h
@@ -98,8 +106,8 @@
11class FindTLMInterfaces : public RecursiveASTVisitor<FindTLMInterfaces> {
12public:
13 // / typedefs
-
14 typedef std::map<string, FindTemplateTypes *> interfaceType;
-
15 typedef std::pair<string, FindTemplateTypes *> kvType;
+
14 typedef std::map<string, FindTemplateTypes *> interfaceType;
+
15 typedef std::pair<string, FindTemplateTypes *> kvType;
16
17 FindTLMInterfaces(CXXRecordDecl *, llvm::raw_ostream &);
18 virtual ~FindTLMInterfaces();
@@ -108,17 +116,17 @@
21
22 // ANI : Identifying only FIFO interfaces.
23 // Need to add the other interfaces
- - - + + +
27
28 void dump();
29
30private:
31 llvm::raw_ostream &_os;
- - - + + +
35};
36} // namespace systemc_clang
@@ -129,7 +137,7 @@ -
std::map< string, FindTemplateTypes * > interfaceType
+
std::pair< string, FindTemplateTypes * > kvType
virtual bool VisitFieldDecl(FieldDecl *)
@@ -137,13 +145,14 @@
FindTLMInterfaces(CXXRecordDecl *, llvm::raw_ostream &)
-
std::pair< string, FindTemplateTypes * > kvType
+
std::map< string, FindTemplateTypes * > interfaceType
Clang forward declarations.
Definition FindArgument.h:6
+ diff --git a/FindTemplateParameters_8cpp.html b/FindTemplateParameters_8cpp.html index d1d388e4..f1b7c38f 100644 --- a/FindTemplateParameters_8cpp.html +++ b/FindTemplateParameters_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateParameters.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindTemplateParameters.cpp File Reference
@@ -98,7 +111,7 @@ - + @@ -122,7 +135,8 @@
+ diff --git a/FindTemplateParameters_8cpp__incl.map b/FindTemplateParameters_8cpp__incl.map index 1d806a03..84219e08 100644 --- a/FindTemplateParameters_8cpp__incl.map +++ b/FindTemplateParameters_8cpp__incl.map @@ -10,7 +10,7 @@ - + diff --git a/FindTemplateParameters_8cpp__incl.png b/FindTemplateParameters_8cpp__incl.png index 4a311aef..0a549eba 100644 Binary files a/FindTemplateParameters_8cpp__incl.png and b/FindTemplateParameters_8cpp__incl.png differ diff --git a/FindTemplateParameters_8cpp_source.html b/FindTemplateParameters_8cpp_source.html index 342fb63f..030164d5 100644 --- a/FindTemplateParameters_8cpp_source.html +++ b/FindTemplateParameters_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateParameters.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindTemplateParameters.cpp
@@ -220,7 +228,8 @@
+ diff --git a/FindTemplateParameters_8h.html b/FindTemplateParameters_8h.html index 9b92eb09..8abf9757 100644 --- a/FindTemplateParameters_8h.html +++ b/FindTemplateParameters_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateParameters.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -102,62 +115,62 @@
- - - + + + - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + +
@@ -170,10 +183,10 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 

@@ -201,7 +214,8 @@

+ diff --git a/FindTemplateParameters_8h__dep__incl.map b/FindTemplateParameters_8h__dep__incl.map index 6608ed12..be532755 100644 --- a/FindTemplateParameters_8h__dep__incl.map +++ b/FindTemplateParameters_8h__dep__incl.map @@ -1,58 +1,58 @@ - - - + + + - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + + diff --git a/FindTemplateParameters_8h__dep__incl.png b/FindTemplateParameters_8h__dep__incl.png index caf984a6..660db92a 100644 Binary files a/FindTemplateParameters_8h__dep__incl.png and b/FindTemplateParameters_8h__dep__incl.png differ diff --git a/FindTemplateParameters_8h_source.html b/FindTemplateParameters_8h_source.html index b39403dc..97b8c61b 100644 --- a/FindTemplateParameters_8h_source.html +++ b/FindTemplateParameters_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateParameters.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
FindTemplateParameters.h
@@ -138,7 +146,8 @@
+ diff --git a/FindTemplateTypes_8cpp.html b/FindTemplateTypes_8cpp.html index 73b25484..c4a39b9c 100644 --- a/FindTemplateTypes_8cpp.html +++ b/FindTemplateTypes_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateTypes.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
FindTemplateTypes.cpp File Reference
@@ -107,7 +120,7 @@ - + @@ -128,7 +141,8 @@
+ diff --git a/FindTemplateTypes_8cpp__incl.map b/FindTemplateTypes_8cpp__incl.map index 48746422..c1667e63 100644 --- a/FindTemplateTypes_8cpp__incl.map +++ b/FindTemplateTypes_8cpp__incl.map @@ -19,7 +19,7 @@ - + diff --git a/FindTemplateTypes_8cpp_source.html b/FindTemplateTypes_8cpp_source.html index b6fbdb3d..19b606a5 100644 --- a/FindTemplateTypes_8cpp_source.html +++ b/FindTemplateTypes_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateTypes.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindTemplateTypes.cpp
@@ -261,9 +269,9 @@
167
168 auto type_name{e->getDecl()->getName()};
169 llvm::dbgs() << "type_name: " << type_name << "\n";
-
170 TemplateType tt{type_name.str(), e->desugar().getTypePtr()};
+
170 TemplateType tt{type_name.str(), e->desugar().getTypePtr()};
171
-
172 e->desugar().getTypePtr()->dump();
+
172 e->desugar().getTypePtr()->dump();
173 auto tp{e->desugar().getTypePtr()};
174
@@ -363,7 +371,7 @@
263 // llvm::outs() << integral_string << "\n";
264
265 auto new_node{template_args_.addNode(TemplateType{
-
266 integral_string.c_str(), template_name.getTypePtr()})};
+
266 integral_string.c_str(), template_name.getTypePtr()})};
267 template_args_.addEdge(current_type_node_, new_node);
268 }
269 }
@@ -429,7 +437,7 @@
322
323void FindTemplateTypes::printTemplateArguments(llvm::raw_ostream &os) {
-
324 auto root_node{template_args_.getRoot()};
+
324 auto root_node{template_args_.getRoot()};
325 auto s{template_args_.dft(root_node)};
326 os << "> Template args (DFT): " << s << "\n";
327}
@@ -465,13 +473,13 @@
const clang::Type * getTypePtr() const
const clang::Type * type_ptr_
-
const TreeNodePtr getRoot() const
Definition Tree.h:121
+ diff --git a/FindTemplateTypes_8h.html b/FindTemplateTypes_8h.html index d6c84bd5..446a4d5d 100644 --- a/FindTemplateTypes_8h.html +++ b/FindTemplateTypes_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateTypes.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -93,7 +106,7 @@
- + @@ -107,7 +120,7 @@ - + @@ -120,33 +133,33 @@
- - - + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - + - - + + - + @@ -157,8 +170,8 @@ - - + + @@ -166,7 +179,7 @@ - + @@ -182,22 +195,22 @@ - + - + - + - + @@ -216,10 +229,10 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 

@@ -247,7 +260,8 @@

+ diff --git a/FindTemplateTypes_8h__dep__incl.map b/FindTemplateTypes_8h__dep__incl.map index 5d161bd8..2948543c 100644 --- a/FindTemplateTypes_8h__dep__incl.map +++ b/FindTemplateTypes_8h__dep__incl.map @@ -1,31 +1,31 @@ - - - + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - + - - + + - + @@ -36,8 +36,8 @@ - - + + @@ -45,7 +45,7 @@ - + @@ -61,22 +61,22 @@ - + - + - + - + diff --git a/FindTemplateTypes_8h__dep__incl.png b/FindTemplateTypes_8h__dep__incl.png index bfc23dec..9458c130 100644 Binary files a/FindTemplateTypes_8h__dep__incl.png and b/FindTemplateTypes_8h__dep__incl.png differ diff --git a/FindTemplateTypes_8h__incl.map b/FindTemplateTypes_8h__incl.map index 7c9098a3..d74d7a08 100644 --- a/FindTemplateTypes_8h__incl.map +++ b/FindTemplateTypes_8h__incl.map @@ -1,5 +1,5 @@ - + @@ -13,7 +13,7 @@ - + diff --git a/FindTemplateTypes_8h_source.html b/FindTemplateTypes_8h_source.html index 87c96f21..e621f728 100644 --- a/FindTemplateTypes_8h_source.html +++ b/FindTemplateTypes_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindTemplateTypes.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
FindTemplateTypes.h
@@ -137,9 +145,9 @@
49class FindTemplateTypes : public clang::RecursiveASTVisitor<FindTemplateTypes> {
50 public:
- -
53 typedef std::vector<TemplateTypePtr> type_vector_t;
-
54 typedef std::vector<TemplateTypePtr> argVectorType;
+ +
53 typedef std::vector<TemplateTypePtr> type_vector_t;
+
54 typedef std::vector<TemplateTypePtr> argVectorType;
55
56 // typedef tree< TemplateType > template_arguments_type;
57 // Constructor
@@ -197,15 +205,15 @@
Tree< TemplateType >::TreeNodePtr current_type_node_
bool VisitEnumType(clang::EnumType *e)
-
TemplateType TemplateTypePtr
Typedefs.
void printTemplateArguments(llvm::raw_ostream &os)
bool VisitIntegerLiteral(clang::IntegerLiteral *l)
std::stack< Tree< TemplateType >::TreeNodePtr > stack_current_node_
+
TemplateType TemplateTypePtr
Typedefs.
+
std::vector< TemplateTypePtr > argVectorType
Tree< TemplateType > * getTemplateArgTreePtr()
-
std::vector< TemplateTypePtr > argVectorType
-
std::vector< TemplateTypePtr > type_vector_t
bool VisitTemplateSpecializationType(clang::TemplateSpecializationType *special_type)
+
std::vector< TemplateTypePtr > type_vector_t
bool VisitDependentNameType(clang::DependentNameType *type)
bool VisitTypedefType(clang::TypedefType *typedef_type)
@@ -231,7 +239,8 @@
+
diff --git a/FindWait_8cpp.html b/FindWait_8cpp.html index 9f91cc99..a9eefd1d 100644 --- a/FindWait_8cpp.html +++ b/FindWait_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindWait.cpp File Reference + + @@ -32,23 +34,33 @@
- + + + +
FindWait.cpp File Reference
@@ -99,10 +112,10 @@ - + - + @@ -119,7 +132,8 @@
+ diff --git a/FindWait_8cpp__incl.map b/FindWait_8cpp__incl.map index 0a0c8a7a..2f9179fd 100644 --- a/FindWait_8cpp__incl.map +++ b/FindWait_8cpp__incl.map @@ -10,10 +10,10 @@ - + - + diff --git a/FindWait_8cpp__incl.png b/FindWait_8cpp__incl.png index 363b11db..22831eff 100644 Binary files a/FindWait_8cpp__incl.png and b/FindWait_8cpp__incl.png differ diff --git a/FindWait_8cpp_source.html b/FindWait_8cpp_source.html index 1d0a649d..d481d4db 100644 --- a/FindWait_8cpp_source.html +++ b/FindWait_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindWait.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
FindWait.cpp
@@ -155,7 +163,7 @@
62}
63
- +
65
66clang::CXXMethodDecl *FindWait::getEntryMethod() const { return entry_method_decl_; }
67
@@ -206,7 +214,7 @@
llvm::raw_ostream & os_
Definition FindWait.h:40
-
vector< WaitContainer * > waitListType
Definition FindWait.h:20
+
vector< WaitContainer * > waitListType
Definition FindWait.h:20
waitListType wait_calls_list_
Definition FindWait.h:43
FindWait(clang::CXXMethodDecl *, llvm::raw_ostream &)
Definition FindWait.cpp:9
@@ -223,7 +231,8 @@ + diff --git a/FindWait_8h.html b/FindWait_8h.html index 29398ad3..ad68f2d2 100644 --- a/FindWait_8h.html +++ b/FindWait_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindWait.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -91,8 +104,8 @@
- - + + @@ -102,7 +115,7 @@ - + @@ -115,93 +128,93 @@
- - - - - - + + + + + + - + - - - - - - - - - - + + + + + + + + + + - - - - - + + + + + - + - - - - - + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - + + + + + + + + + - - - - + + + + - - + + - - - - - + + + + + - - - - - - + + + + + + - + - +
@@ -214,16 +227,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/FindWait_8h__dep__incl.map b/FindWait_8h__dep__incl.map index 16bd27f8..05568104 100644 --- a/FindWait_8h__dep__incl.map +++ b/FindWait_8h__dep__incl.map @@ -1,89 +1,89 @@ - - - - - - + + + + + + - + - - - - - - - - - - + + + + + + + + + + - - - - - + + + + + - + - - - - - + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - + + + + - - - - - - - - - + + + + + + + + + - - - - + + + + - - + + - - - - - + + + + + - - - - - - + + + + + + - + - + diff --git a/FindWait_8h__dep__incl.png b/FindWait_8h__dep__incl.png index 54144bbb..a6af1887 100644 Binary files a/FindWait_8h__dep__incl.png and b/FindWait_8h__dep__incl.png differ diff --git a/FindWait_8h__incl.map b/FindWait_8h__incl.map index 11e9bfe5..56a8a19a 100644 --- a/FindWait_8h__incl.map +++ b/FindWait_8h__incl.map @@ -1,6 +1,6 @@ - - + + @@ -10,7 +10,7 @@ - + diff --git a/FindWait_8h_source.html b/FindWait_8h_source.html index 2e3d28b1..1d660077 100644 --- a/FindWait_8h_source.html +++ b/FindWait_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/FindWait.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
FindWait.h
@@ -104,12 +112,12 @@
18class FindWait : public RecursiveASTVisitor<FindWait> {
19 public:
-
20 typedef vector<WaitContainer *> waitListType;
+
20 typedef vector<WaitContainer *> waitListType;
21
22 typedef std::pair<clang::CXXMethodDecl *, vector<std::string>>
- +
24 typedef std::map<clang::CXXMethodDecl *, vector<std::string>>
- +
26 bool VisitUnresolvedMemberExpr(clang::UnresolvedMemberExpr *e);
27 FindWait(clang::CXXMethodDecl *, llvm::raw_ostream &);
28
@@ -119,7 +127,7 @@
32 virtual bool VisitCallExpr(clang::CallExpr *expr);
33
34 clang::CXXMethodDecl *getEntryMethod() const;
- +
36 void dump();
37
38 private:
@@ -127,27 +135,27 @@
40 llvm::raw_ostream &os_;
41 clang::CallExpr *wait_call_;
- +
44};
45} // namespace systemc_clang
46#endif
-
std::pair< clang::CXXMethodDecl *, vector< std::string > > processWaitEventPairType
Definition FindWait.h:23
llvm::raw_ostream & os_
Definition FindWait.h:40
-
vector< WaitContainer * > waitListType
Definition FindWait.h:20
+
vector< WaitContainer * > waitListType
Definition FindWait.h:20
waitListType wait_calls_list_
Definition FindWait.h:43
FindWait(clang::CXXMethodDecl *, llvm::raw_ostream &)
Definition FindWait.cpp:9
bool shouldVisitTemplateInstantiations() const
Definition FindWait.cpp:29
clang::CXXMethodDecl * getEntryMethod() const
Definition FindWait.cpp:66
+
std::map< clang::CXXMethodDecl *, vector< std::string > > processWaitEventMapType
Definition FindWait.h:25
+
std::pair< clang::CXXMethodDecl *, vector< std::string > > processWaitEventPairType
Definition FindWait.h:23
virtual bool VisitCallExpr(clang::CallExpr *expr)
Definition FindWait.cpp:43
clang::CallExpr * wait_call_
Definition FindWait.h:41
waitListType getWaitCalls()
Definition FindWait.cpp:64
-
std::map< clang::CXXMethodDecl *, vector< std::string > > processWaitEventMapType
Definition FindWait.h:25
clang::CXXMethodDecl * entry_method_decl_
Definition FindWait.h:39
bool VisitUnresolvedMemberExpr(clang::UnresolvedMemberExpr *e)
Definition FindWait.cpp:31
Clang forward declarations.
Definition FindArgument.h:6
@@ -155,7 +163,8 @@
+ diff --git a/GlobalSuspensionAutomata_8cpp.html b/GlobalSuspensionAutomata_8cpp.html index a374d9a5..a2942022 100644 --- a/GlobalSuspensionAutomata_8cpp.html +++ b/GlobalSuspensionAutomata_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/GlobalSuspensionAutomata.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
GlobalSuspensionAutomata.cpp File Reference
@@ -85,14 +98,14 @@
- - + + - + - - - + + + @@ -104,67 +117,67 @@ - - - + + + - + - + - - - - - - - + + + + + + + - - - - - + + + + + - + - + - + - + - + - + - + - - + + - + @@ -177,20 +190,20 @@ - + - - + + - + - + @@ -202,75 +215,75 @@ - + - - + + - + - + - - - + + + - + - + - + - - - + + + - + - + - + - - + + - + - + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + @@ -281,10 +294,10 @@ - + - + @@ -297,7 +310,8 @@
+
diff --git a/GlobalSuspensionAutomata_8cpp__incl.map b/GlobalSuspensionAutomata_8cpp__incl.map index 4f1e858c..ba8a8caf 100644 --- a/GlobalSuspensionAutomata_8cpp__incl.map +++ b/GlobalSuspensionAutomata_8cpp__incl.map @@ -1,12 +1,12 @@ - - + + - + - - - + + + @@ -18,67 +18,67 @@ - - - + + + - + - + - - - - - - - + + + + + + + - - - - - + + + + + - + - + - + - + - + - + - + - - + + - + @@ -91,20 +91,20 @@ - + - - + + - + - + @@ -116,75 +116,75 @@ - + - - + + - + - + - - - + + + - + - + - + - - - + + + - + - + - + - - + + - + - + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + @@ -195,10 +195,10 @@ - + - + diff --git a/GlobalSuspensionAutomata_8cpp__incl.png b/GlobalSuspensionAutomata_8cpp__incl.png index c399f436..62531394 100644 Binary files a/GlobalSuspensionAutomata_8cpp__incl.png and b/GlobalSuspensionAutomata_8cpp__incl.png differ diff --git a/GlobalSuspensionAutomata_8cpp_source.html b/GlobalSuspensionAutomata_8cpp_source.html index 98e8ebd3..f2757bdd 100644 --- a/GlobalSuspensionAutomata_8cpp_source.html +++ b/GlobalSuspensionAutomata_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/GlobalSuspensionAutomata.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
GlobalSuspensionAutomata.cpp
@@ -115,8 +123,8 @@
26 _transitionTimeMap.find(aTransition);
27 transitionTimeMapType::iterator bTransitionFound =
28 _transitionTimeMap.find(bTransition);
-
29 timePairType aTimePair = aTransitionFound->second;
-
30 timePairType bTimePair = bTransitionFound->second;
+
29 timePairType aTimePair = aTransitionFound->second;
+
30 timePairType bTimePair = bTransitionFound->second;
31
32 if (aTimePair.first == bTimePair.first &&
33 aTimePair.second == bTimePair.second) {
@@ -127,7 +135,7 @@
38 // iterate through each code block set and accumulate DP blocks.
39 // debug susCFGBlockGPUMacroMap
40
-
41 timePairType timePair = make_pair(aTimePair.first, aTimePair.second);
+
41 timePairType timePair = make_pair(aTimePair.first, aTimePair.second);
42 if (_commonTimeDPMap.find(timePair) == _commonTimeDPMap.end()) {
43 for (int k = 0; k < aCodeBlocks.size(); k++) {
44 if (_susCFGBlockGPUMacroMap.find(aCodeBlocks.at(k)) !=
@@ -148,7 +156,7 @@
59 }
60 }
61 _commonTimeDPMap.insert(
-
62 commonTimeDPPairType(timePair, susCFGBlockList));
+
62 commonTimeDPPairType(timePair, susCFGBlockList));
63 } else {
64 commonTimeDPMapType::iterator commonTimeFound =
65 _commonTimeDPMap.find(timePair);
@@ -165,7 +173,7 @@
76 tmpVec.insert(tmpVec.end(), susCFGBlockList.begin(),
77 susCFGBlockList.end());
78 _commonTimeDPMap.erase(timePair);
-
79 _commonTimeDPMap.insert(commonTimeDPPairType(timePair, tmpVec));
+
79 _commonTimeDPMap.insert(commonTimeDPPairType(timePair, tmpVec));
80 }
81 }
82 }
@@ -187,7 +195,7 @@
98 for (commonTimeDPMapType::iterator cit = _commonTimeDPMap.begin(),
99 cite = _commonTimeDPMap.end();
100 cit != cite; cit++) {
-
101 timePairType timePair = cit->first;
+
101 timePairType timePair = cit->first;
102 //_os <<"\n Looking at time-pair : " <<timePair.first<<" "
103 //<<timePair.second;
104 vector<SusCFG *> susCFGBlockList = cit->second;
@@ -412,19 +420,19 @@
314 it = _entryFunctionGPUMacroMap.begin(),
315 eit = _entryFunctionGPUMacroMap.end();
316 it != eit; it++) {
-
317 FindGPUMacro::forStmtGPUMacroMapType gpuMacroMap = it->second;
+
317 FindGPUMacro::forStmtGPUMacroMapType gpuMacroMap = it->second;
318
319 for (FindGPUMacro::forStmtGPUMacroMapType::iterator
320 fit = gpuMacroMap.begin(),
321 fite = gpuMacroMap.end();
322 fit != fite; fit++) {
-
323 FindGPUMacro::forStmtInstanceIdPairType forStmtInstanceIdPair =
+
323 FindGPUMacro::forStmtInstanceIdPairType forStmtInstanceIdPair =
324 fit->first;
325
326 if (forStmtInstanceIdPair.first == instanceId &&
327 forStmtInstanceIdPair.second == fs) {
-
329 susCFGBlockGPUMacroPairType(susCFGBlock, fit->second));
+
329 susCFGBlockGPUMacroPairType(susCFGBlock, fit->second));
330
331 break;
332 }
@@ -451,13 +459,13 @@
351 vector<EntryFunctionContainer *> vef = md->getEntryFunctionContainer();
352
353 for (int j = 0; j < vef.size(); j++) {
- +
355 vef.at(j)->getSusAuto();
356
-
357 entryFunctionInstanceIdPairType entryFunctionInstanceIdPair =
+
357 entryFunctionInstanceIdPairType entryFunctionInstanceIdPair =
358 make_pair(vef.at(j), i);
-
360 instanceFunctionSautoPairType(entryFunctionInstanceIdPair, sauto));
+
360 instanceFunctionSautoPairType(entryFunctionInstanceIdPair, sauto));
361 }
362
363 for (int j = 0; j < vef.size(); j++) {
@@ -465,7 +473,7 @@
365
366 FindGPUMacro findGPUMacro(entryFunctionDecl, i, _os);
367
- +
369 vef.at(j), findGPUMacro.getForStmtGPUMacroMap()));
370 }
371 }
@@ -489,14 +497,14 @@
389 it != eit; it++) {
390
391 vector<Transition *> sauto = it->second;
-
392 entryFunctionInstanceIdPairType entryFunctionIdPair = it->first;
+
392 entryFunctionInstanceIdPairType entryFunctionIdPair = it->first;
393 for (int i = 0; i < sauto.size(); i++) {
394 Transition *t = sauto.at(i);
395 t->addInstanceId(entryFunctionIdPair.second);
396 if (t->returnInitialState()->isInitial()) {
397 t->addInitialState(initialState);
398 }
- +
400 _globalSauto.push_back(t);
401 }
402 }
@@ -519,9 +527,9 @@
419 }
420 }
-
422 stateTransitionsPairType(initState, incomingTransitions));
+
422 stateTransitionsPairType(initState, incomingTransitions));
-
424 stateTransitionsPairType(initState, outgoingTransitions));
+
424 stateTransitionsPairType(initState, outgoingTransitions));
425 }
426}
@@ -540,7 +548,7 @@
438}
439
- +
448
- +
@@ -562,12 +570,12 @@
453
454vector<Transition *>
- +
456 vector<Transition *> transitionVec;
457 for (transitionTimeMapType::iterator it = _transitionTimeMap.begin(),
458 eit = _transitionTimeMap.end();
459 it != eit; it++) {
-
460 timePairType timePair = it->second;
+
460 timePairType timePair = it->second;
461 if (timePair.first == tp.first && timePair.second == tp.second) {
462 transitionVec.push_back(it->first);
463 }
@@ -576,14 +584,14 @@
466}
467
- +
472
- +
475 if (_transitionTimeMap.find(t) != _transitionTimeMap.end()) {
@@ -679,7 +687,7 @@
555 if (_transitionTimeMap.find(tr) != _transitionTimeMap.end()) {
556 transitionTimeMapType::iterator transitionTimeFound =
557 _transitionTimeMap.find(tr);
- +
559 eventName, transitionTimeFound->second));
560 } else {
561 llvm::errs() << "\n ERROR : Cannot find transition time";
@@ -697,7 +705,7 @@
571 State *state = tr->returnInitialState();
572
573 if (state->isInitial()) {
- +
575 return true;
576 } else if (state->isDelta()) {
577 vector<Transition *> transitionVec = getIncomingTransitions(state);
@@ -716,7 +724,7 @@
590 }
591 }
592 _transitionTimeMap.insert(
-
593 transitionTimePairType(tr, timePairType(simTime, deltaTime)));
+
593 transitionTimePairType(tr, timePairType(simTime, deltaTime)));
594 return true;
595 }
596
@@ -737,7 +745,7 @@
611 }
612 }
613 _transitionTimeMap.insert(
-
614 transitionTimePairType(tr, timePairType(simTime, deltaTime)));
+
614 transitionTimePairType(tr, timePairType(simTime, deltaTime)));
615 return true;
616 } else if (state->isEvent()) {
617
@@ -748,7 +756,7 @@
622 } else {
623 eventNotificationTimeMapType::iterator eventFound =
-
625 _transitionTimeMap.insert(transitionTimePairType(tr, eventFound->second));
+
625 _transitionTimeMap.insert(transitionTimePairType(tr, eventFound->second));
626 return true;
627 }
628 } else {
@@ -794,11 +802,11 @@
666 if (updateTransitionTime(tr)) {
667 transitionQueue.pop_front();
668 _visitTransitionMap.erase(tr);
- +
670 } else {
671 transitionQueue.pop_front();
672 transitionQueue.push_back(tr);
- +
674 }
676 vector<Transition *> outgoingTransitions =
@@ -839,7 +847,7 @@
709 for (commonTimeDPMapType::iterator cit = _commonTimeDPMap.begin(),
710 cite = _commonTimeDPMap.end();
711 cit != cite; cit++) {
-
712 timePairType timePair = cit->first;
+
712 timePairType timePair = cit->first;
713 vector<SusCFG *> susCFGList = cit->second;
714 _os << "\n Time Pair : " << timePair.first << " " << timePair.second;
715 _os << "\n SusCFG* DP : \n";
@@ -864,62 +872,62 @@
forStmtGPUMacroMapType getForStmtGPUMacroMap()
-
map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
-
pair< int, ForStmt * > forStmtInstanceIdPairType
+
map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
+
pair< int, ForStmt * > forStmtInstanceIdPairType
void dump(raw_ostream &)
+
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroMapType
+
pair< Transition *, timePairType > transitionTimePairType
+
pair< entryFunctionInstanceIdPairType, transitionVectorType > instanceFunctionSautoPairType
-
pair< EntryFunctionContainer *, int > entryFunctionInstanceIdPairType
-
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroMapType
-
pair< entryFunctionInstanceIdPairType, transitionVectorType > instanceFunctionSautoPairType
+
pair< SusCFG *, GPUMacro * > susCFGBlockGPUMacroPairType
vector< SusCFG * > merge_sort(vector< SusCFG * >, vector< SusCFG * >)
-
pair< SusCFG *, GPUMacro * > susCFGBlockGPUMacroPairType
-
pair< timePairType, vector< SusCFG * > > commonTimeDPPairType
+
map< Transition *, timePairType > transitionTimeMapType
-
map< Transition *, timePairType > transitionTimeMapType
+
bool GPUMap(float, vector< SusCFG * >, float &)
-
map< SusCFG *, GPUMacro * > susCFGBlockGPUMacroMapType
-
pair< string, timePairType > eventNotificationTimePairType
+
map< SusCFG *, GPUMacro * > susCFGBlockGPUMacroMapType
+
pair< State *, vector< Transition * > > stateTransitionsPairType
transitionVectorType getTransitionsAtTime(timePairType)
instanceFunctionSautoMapType _instanceFunctionSautoMap
- -
pair< State *, vector< Transition * > > stateTransitionsPairType
GlobalSuspensionAutomata(Model *, raw_ostream &, ASTContext *)
-
pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroPairType
vector< SusCFG * > arrangeGPUSusCFGBlocks(vector< SusCFG * >)
- + +
pair< string, timePairType > eventNotificationTimePairType
+
pair< timePairType, vector< SusCFG * > > commonTimeDPPairType
eventNotificationTimeMapType _eventNotificationTimeMap
+
pair< EntryFunctionContainer *, int > entryFunctionInstanceIdPairType
+
pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroPairType
-
pair< Transition *, timePairType > transitionTimePairType
@@ -930,7 +938,7 @@ -
vector< Transition * > transitionVectorType
+
vector< Transition * > transitionVectorType
vector< SusCFG * > returnCodeBlocks()
@@ -943,7 +951,8 @@
+ diff --git a/GlobalSuspensionAutomata_8h.html b/GlobalSuspensionAutomata_8h.html index fb55fbdf..f3c25986 100644 --- a/GlobalSuspensionAutomata_8h.html +++ b/GlobalSuspensionAutomata_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/GlobalSuspensionAutomata.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -90,7 +103,7 @@
- + @@ -103,34 +116,34 @@ - + - - - + + + - + - + - + - - - - - - + + + + + + - + @@ -138,24 +151,24 @@ - + - + - + - + - + @@ -171,7 +184,7 @@ - + @@ -190,10 +203,10 @@ - + - + @@ -201,38 +214,38 @@ - - - - - - - - + + + + + + + + - + - + - - - + + + - + - + - + - + - + - + @@ -251,27 +264,27 @@ - + - + - - - + + + - + - - - + + + @@ -283,13 +296,13 @@ - - - - - + + + + + - + @@ -317,13 +330,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/GlobalSuspensionAutomata_8h__incl.map b/GlobalSuspensionAutomata_8h__incl.map index 0b86e3c9..a40f53a2 100644 --- a/GlobalSuspensionAutomata_8h__incl.map +++ b/GlobalSuspensionAutomata_8h__incl.map @@ -1,5 +1,5 @@ - + @@ -12,34 +12,34 @@ - + - - - + + + - + - + - + - - - - - - + + + + + + - + @@ -47,24 +47,24 @@ - + - + - + - + - + @@ -80,7 +80,7 @@ - + @@ -99,10 +99,10 @@ - + - + @@ -110,38 +110,38 @@ - - - - - - - - + + + + + + + + - + - + - - - + + + - + - + - + - + - + - + @@ -160,27 +160,27 @@ - + - + - - - + + + - + - - - + + + @@ -192,13 +192,13 @@ - - - - - + + + + + - + diff --git a/GlobalSuspensionAutomata_8h__incl.png b/GlobalSuspensionAutomata_8h__incl.png index 52bf7753..3c97d852 100644 Binary files a/GlobalSuspensionAutomata_8h__incl.png and b/GlobalSuspensionAutomata_8h__incl.png differ diff --git a/GlobalSuspensionAutomata_8h_source.html b/GlobalSuspensionAutomata_8h_source.html index ab2126cb..7151aa7d 100644 --- a/GlobalSuspensionAutomata_8h_source.html +++ b/GlobalSuspensionAutomata_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/GlobalSuspensionAutomata.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
GlobalSuspensionAutomata.h
@@ -100,39 +108,39 @@
13 GlobalSuspensionAutomata(Model *, raw_ostream &, ASTContext *);
15
-
16 typedef pair<float, int> timePairType;
-
17 typedef vector<Transition *> transitionVectorType;
+
16 typedef pair<float, int> timePairType;
+
17 typedef vector<Transition *> transitionVectorType;
18
-
19 typedef pair<EntryFunctionContainer *, int> entryFunctionInstanceIdPairType;
-
20 typedef map<EntryFunctionContainer *, int> entryFunctionInstanceIdMapType;
+
19 typedef pair<EntryFunctionContainer *, int> entryFunctionInstanceIdPairType;
+
20 typedef map<EntryFunctionContainer *, int> entryFunctionInstanceIdMapType;
21
22 typedef pair<entryFunctionInstanceIdPairType, transitionVectorType>
- +
24 typedef map<entryFunctionInstanceIdPairType, transitionVectorType>
- +
26
-
27 typedef pair<Transition *, timePairType> transitionTimePairType;
-
28 typedef map<Transition *, timePairType> transitionTimeMapType;
+
27 typedef pair<Transition *, timePairType> transitionTimePairType;
+
28 typedef map<Transition *, timePairType> transitionTimeMapType;
29
-
30 typedef pair<string, timePairType> eventNotificationTimePairType;
-
31 typedef map<string, timePairType> eventNotificationTimeMapType;
+
30 typedef pair<string, timePairType> eventNotificationTimePairType;
+
31 typedef map<string, timePairType> eventNotificationTimeMapType;
32
-
33 typedef pair<State *, vector<Transition *>> stateTransitionsPairType;
-
34 typedef map<State *, vector<Transition *>> stateTransitionsMapType;
+
33 typedef pair<State *, vector<Transition *>> stateTransitionsPairType;
+
34 typedef map<State *, vector<Transition *>> stateTransitionsMapType;
35
-
36 typedef pair<Transition *, bool> visitTransitionPairType;
-
37 typedef map<Transition *, bool> visitTransitionMapType;
+
36 typedef pair<Transition *, bool> visitTransitionPairType;
+
37 typedef map<Transition *, bool> visitTransitionMapType;
38
39 typedef pair<EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType>
- +
41 typedef map<EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType>
- +
43
-
44 typedef pair<SusCFG *, GPUMacro *> susCFGBlockGPUMacroPairType;
-
45 typedef map<SusCFG *, GPUMacro *> susCFGBlockGPUMacroMapType;
+
44 typedef pair<SusCFG *, GPUMacro *> susCFGBlockGPUMacroPairType;
+
45 typedef map<SusCFG *, GPUMacro *> susCFGBlockGPUMacroMapType;
46
-
47 typedef pair<timePairType, vector<SusCFG *>> commonTimeDPPairType;
-
48 typedef map<timePairType, vector<SusCFG *>> commonTimeDPMapType;
+
47 typedef pair<timePairType, vector<SusCFG *>> commonTimeDPPairType;
+
48 typedef map<timePairType, vector<SusCFG *>> commonTimeDPMapType;
49
@@ -151,32 +159,32 @@
64 string getNotifyEventName(const CFGStmt *);
65 void dump();
66
- - - - - - - - - + + + + + + + + +
76
77private:
78 raw_ostream &_os;
79 ASTContext *_a;
- + - - - - - - + + + + + + - - - - + + + +
93};
94} // namespace systemc_clang
@@ -186,70 +194,70 @@
A set of utility functions in the Utility class.
std::vector< clang::CallExpr * > NotifyCallListType
Definition FindNotify.h:20
+
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroMapType
+
pair< Transition *, timePairType > transitionTimePairType
+
pair< entryFunctionInstanceIdPairType, transitionVectorType > instanceFunctionSautoPairType
-
pair< EntryFunctionContainer *, int > entryFunctionInstanceIdPairType
-
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroMapType
float maxTime(vector< SusCFG * >, unsigned int)
-
pair< entryFunctionInstanceIdPairType, transitionVectorType > instanceFunctionSautoPairType
+
pair< SusCFG *, GPUMacro * > susCFGBlockGPUMacroPairType
vector< SusCFG * > merge_sort(vector< SusCFG * >, vector< SusCFG * >)
-
pair< SusCFG *, GPUMacro * > susCFGBlockGPUMacroPairType
-
pair< timePairType, vector< SusCFG * > > commonTimeDPPairType
+
map< Transition *, timePairType > transitionTimeMapType
-
map< entryFunctionInstanceIdPairType, transitionVectorType > instanceFunctionSautoMapType
-
map< Transition *, timePairType > transitionTimeMapType
+
map< State *, vector< Transition * > > stateTransitionsMapType
+
bool GPUMap(float, vector< SusCFG * >, float &)
+
map< string, timePairType > eventNotificationTimeMapType
-
map< timePairType, vector< SusCFG * > > commonTimeDPMapType
+ -
map< SusCFG *, GPUMacro * > susCFGBlockGPUMacroMapType
- -
map< State *, vector< Transition * > > stateTransitionsMapType
-
pair< string, timePairType > eventNotificationTimePairType
+
map< EntryFunctionContainer *, int > entryFunctionInstanceIdMapType
+ +
map< SusCFG *, GPUMacro * > susCFGBlockGPUMacroMapType
instanceFunctionSautoMapType getInstanceFunctionSautoMap()
+
pair< State *, vector< Transition * > > stateTransitionsPairType
transitionVectorType getTransitionsAtTime(timePairType)
instanceFunctionSautoMapType _instanceFunctionSautoMap
-
map< string, timePairType > eventNotificationTimeMapType
- -
pair< State *, vector< Transition * > > stateTransitionsPairType
+
map< timePairType, vector< SusCFG * > > commonTimeDPMapType
GlobalSuspensionAutomata(Model *, raw_ostream &, ASTContext *)
-
pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroPairType
+
map< entryFunctionInstanceIdPairType, transitionVectorType > instanceFunctionSautoMapType
vector< SusCFG * > arrangeGPUSusCFGBlocks(vector< SusCFG * >)
- - + +
pair< string, timePairType > eventNotificationTimePairType
+
pair< timePairType, vector< SusCFG * > > commonTimeDPPairType
eventNotificationTimeMapType _eventNotificationTimeMap
+
pair< EntryFunctionContainer *, int > entryFunctionInstanceIdPairType
+
pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionMacroPairType
-
pair< Transition *, timePairType > transitionTimePairType
-
map< EntryFunctionContainer *, int > entryFunctionInstanceIdMapType
-
std::map< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstanceMapType
Definition Model.h:35
+
std::map< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstanceMapType
Definition Model.h:35
@@ -258,7 +266,8 @@ + diff --git a/HDLBody_8cpp.html b/HDLBody_8cpp.html index 5ea8e538..9479e588 100644 --- a/HDLBody_8cpp.html +++ b/HDLBody_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLBody.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -110,8 +123,8 @@ - - + + @@ -138,37 +151,37 @@ - - - - - - + + + + + + - - + + - + - - - - + + + + - + @@ -180,30 +193,30 @@ - + - + - + - - - + + + - + - - - + + + @@ -211,16 +224,16 @@ - + - + - + @@ -231,10 +244,10 @@ - - - - + + + + @@ -253,23 +266,23 @@ - - - - - + + + + + - - - + + + - - - - - + + + + +
@@ -277,7 +290,7 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 

@@ -308,7 +321,8 @@

+ diff --git a/HDLBody_8cpp__incl.map b/HDLBody_8cpp__incl.map index 2da9dd82..a52005a0 100644 --- a/HDLBody_8cpp__incl.map +++ b/HDLBody_8cpp__incl.map @@ -12,8 +12,8 @@ - - + + @@ -40,37 +40,37 @@ - - - - - - + + + + + + - - + + - + - - - - + + + + - + @@ -82,30 +82,30 @@ - + - + - + - - - + + + - + - - - + + + @@ -113,16 +113,16 @@ - + - + - + @@ -133,10 +133,10 @@ - - - - + + + + @@ -155,21 +155,21 @@ - - - - - + + + + + - - - + + + - - - - - + + + + + diff --git a/HDLBody_8cpp__incl.png b/HDLBody_8cpp__incl.png index 9dbc0853..28c4958a 100644 Binary files a/HDLBody_8cpp__incl.png and b/HDLBody_8cpp__incl.png differ diff --git a/HDLBody_8cpp_source.html b/HDLBody_8cpp_source.html index d168ed92..9cc065c4 100644 --- a/HDLBody_8cpp_source.html +++ b/HDLBody_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLBody.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@ +
HDLBody.cpp
@@ -434,1091 +442,1106 @@
332 bool HDLBody::ProcessVarDecl(VarDecl *vardecl) {
333 LLVM_DEBUG(llvm::dbgs() << "ProcessVarDecl var name is " << vardecl->getName()
334 << "\n");
-
335 // create head node for the vardecl
-
336 hNodep h_varlist = new hNode(hNode::hdlopsEnum::hPortsigvarlist);
-
337
-
338 QualType q = vardecl->getType();
-
339 const Type *tp = q.getTypePtr();
-
340 LLVM_DEBUG(llvm::dbgs() << "ProcessVarDecl type name is " << q.getAsString()
-
341 << "\n");
- -
343
-
344 te->Enumerate(tp);
-
345 HDLType HDLt;
-
346 std::vector<llvm::APInt> array_sizes =
- -
348 HDLt.SCtype2hcode(generate_vname(vardecl->getName().str()),
-
349 te->getTemplateArgTreePtr(), &array_sizes,
-
350 hNode::hdlopsEnum::hVardecl, h_varlist);
-
351
-
352 h_ret = NULL;
-
353
-
354 if (h_varlist->child_list.size() == 0) return true;
-
355
-
356 hNodep h_vardecl = h_varlist->child_list.back();
-
357 vname_map.add_entry(vardecl, vardecl->getName().str(), h_vardecl);
+
335
+
336 QualType q = vardecl->getType();
+
337 const Type *tp = q.getTypePtr();
+
338 LLVM_DEBUG(llvm::dbgs() << "ProcessVarDecl type name is " << q.getAsString()
+
339 << "\n");
+
340 // if (q.getAsString()=="::sc_core::sc_process_handle") { // simulation variable
+
341 // h_ret = NULL;
+
342 // return true;
+
343 // }
+
344
+ +
346
+
347 te->Enumerate(tp);
+
348 HDLType HDLt;
+
349 std::vector<llvm::APInt> array_sizes =
+ +
351
+
352 // create head node for the vardecl
+
353 hNodep h_varlist = new hNode(hNode::hdlopsEnum::hPortsigvarlist);
+
354
+
355 HDLt.SCtype2hcode(generate_vname(vardecl->getName().str()),
+
356 te->getTemplateArgTreePtr(), &array_sizes,
+
357 hNode::hdlopsEnum::hVardecl, h_varlist);
358
-
359 const Type *tstp;
-
360 bool isuserdefinedclass = false;
-
361 if (HDLt.usertype_info.userrectypes.size() > 0) {
-
362 tstp = (((te->getTemplateArgTreePtr())->getRoot())->getDataPtr())
-
363 ->getTypePtr();
-
364 /*
-
365 LLVM_DEBUG(
-
366 llvm::dbgs()
-
367 << "ProcessVarDecl init of user class, tstp in processvardecl is "
-
368 << tstp << " isscbytype says " << lutil.isSCByType(tstp) << "\n");
-
369 */
-
370 LLVM_DEBUG(HDLt.print(llvm::dbgs()));
-
371 auto recmapiter = HDLt.usertype_info.userrectypes.find(tstp);
-
372 if (recmapiter !=
- -
374 .end()) { // && (recmapiter->second.find("sc_process_handle")==
-
375 // string::npos)) {
-
376 isuserdefinedclass = true;
-
377 // varinitp->set( hNode::hdlopsEnum::hMethodCall, recmapiter->second);
-
378
-
379 /*
-
380
-
381 // we know it is a userdefined class, now handle the constructor initializer
-
382
-
383 if (lutil.isSCType(exp->getType().getTypePtr())) {
-
384 LLVM_DEBUG(llvm::dbgs() << "CXXConstructExpr type is sctype " << exp->getType().getAsString()<< "\n");
-
385 }
-
386 else {
-
387 if ((exp->getNumArgs() == 0) || //
-
388 (exp->getNumArgs()>0) && !isa<CXXDefaultArgExpr>(exp->getArg(0))) // non-sctype with a true init
-
389 LLVM_DEBUG(llvm::dbgs() << "CXXConstructExpr type is not sctype " << exp->getType().getAsString()
-
390 << "num args is " << exp->getNumArgs() << "\n");
-
391 LLVM_DEBUG(exp->dump(llvm::dbgs(), ast_context_));
+
359 h_ret = NULL;
+
360
+
361 if (h_varlist->child_list.size() == 0) return true;
+
362
+
363 hNodep h_vardecl = h_varlist->child_list.back();
+
364 vname_map.add_entry(vardecl, vardecl->getName().str(), h_vardecl);
+
365
+
366 const Type *tstp;
+
367 bool isuserdefinedclass = false;
+
368 if (HDLt.usertype_info.userrectypes.size() > 0) {
+
369 tstp = (((te->getTemplateArgTreePtr())->getRoot())->getDataPtr())
+
370 ->getTypePtr();
+
371 /*
+
372 LLVM_DEBUG(
+
373 llvm::dbgs()
+
374 << "ProcessVarDecl init of user class, tstp in processvardecl is "
+
375 << tstp << " isscbytype says " << lutil.isSCByType(tstp) << "\n");
+
376 */
+
377 LLVM_DEBUG(HDLt.print(llvm::dbgs()));
+
378 auto recmapiter = HDLt.usertype_info.userrectypes.find(tstp);
+
379 if (recmapiter !=
+ +
381 .end()) { // && (recmapiter->second.find("sc_process_handle")==
+
382 // string::npos)) {
+
383 isuserdefinedclass = true;
+
384 // varinitp->set( hNode::hdlopsEnum::hMethodCall, recmapiter->second);
+
385
+
386 /*
+
387
+
388 // we know it is a userdefined class, now handle the constructor initializer
+
389
+
390 if (lutil.isSCType(exp->getType().getTypePtr())) {
+
391 LLVM_DEBUG(llvm::dbgs() << "CXXConstructExpr type is sctype " << exp->getType().getAsString()<< "\n");
392 }
-
393 */
-
394
-
395
-
396 }
-
397 }
-
398
-
399 string qualmethodname = "ConstructorMethod";
-
400 if (Expr *declinit = vardecl->getInit()) {
-
401 LLVM_DEBUG(llvm::dbgs() << "ProcessVarDecl has an init: \n");
-
402 LLVM_DEBUG(declinit->dump(llvm::dbgs(), ast_context_));
-
403 CXXConstructExpr *tmpdeclinit = dyn_cast<CXXConstructExpr>(declinit);
-
404 if (isuserdefinedclass && (tmpdeclinit != NULL)) {
-
405 // For user-defined classes:
-
406 // If there is an initializer, define the method for the initializer and
-
407 // insert a call to the method. If there are parameters, they need to be
-
408 // supplied. if it is a user-defined class defined outside an sc_module,
-
409 // the "this" parameter needs to be supplied as first parameter to the
-
410 // constructor method.
-
411
-
412 const CXXConstructorDecl *cnstrdcl = tmpdeclinit->getConstructor();
-
413 string methodname = cnstrdcl->getNameAsString();
-
414 qualmethodname = cnstrdcl->getQualifiedNameAsString();
-
415 lutil.make_ident(qualmethodname);
-
416 LLVM_DEBUG(llvm::dbgs() << "ConstructorDecl " << methodname << ", "
-
417 << qualmethodname << " for var follows\n");
-
418 LLVM_DEBUG(cnstrdcl->dump());
-
419 // add method decl for constructor
-
420 hNodep h_callp =
-
421 new hNode(qualmethodname, hNode::hdlopsEnum::hMethodCall);
-
422 const std::vector<StringRef> tmpmodstr{"sc_module"};
-
423 if (sc_ast_matchers::utils::isInNamespace(tstp, tmpmodstr)) {
-
424 LLVM_DEBUG(llvm::dbgs()
-
425 << "user-defined class is defined in sc module\n");
-
426 } else
-
427 h_callp->append(
-
428 new hNode(FindVname(vardecl), hNode::hdlopsEnum::hVarref));
-
429 methodecls.add_entry((CXXMethodDecl *)cnstrdcl, qualmethodname, h_callp);
-
430 methodecls.methodobjtypemap[(const CXXMethodDecl *)cnstrdcl] = tstp;
-
431 TraverseStmt(tmpdeclinit);
-
432 if (h_ret) {
-
433 h_callp->append(h_ret);
-
434 }
-
435 h_ret = h_callp;
-
436 //}
-
437 return true;
-
438 }
-
439
-
440 TraverseStmt(declinit);
-
441
-
442 if (h_ret) {
-
443 hNodep varinitp = new hNode(hNode::hdlopsEnum::hVarAssign);
-
444 varinitp->child_list.push_back(
-
445 new hNode(FindVname(vardecl), hNode::hdlopsEnum::hVarref));
-
446 varinitp->child_list.push_back(h_ret);
-
447 h_ret = varinitp;
-
448 }
-
449 }
-
450 return true;
-
451 }
+
393 else {
+
394 if ((exp->getNumArgs() == 0) || //
+
395 (exp->getNumArgs()>0) && !isa<CXXDefaultArgExpr>(exp->getArg(0))) // non-sctype with a true init
+
396 LLVM_DEBUG(llvm::dbgs() << "CXXConstructExpr type is not sctype " << exp->getType().getAsString()
+
397 << "num args is " << exp->getNumArgs() << "\n");
+
398 LLVM_DEBUG(exp->dump(llvm::dbgs(), ast_context_));
+
399 }
+
400 */
+
401
+
402
+
403 }
+
404 }
+
405
+
406 string qualmethodname = "ConstructorMethod";
+
407 if (Expr *declinit = vardecl->getInit()) {
+
408 LLVM_DEBUG(llvm::dbgs() << "ProcessVarDecl has an init: \n");
+
409 LLVM_DEBUG(declinit->dump(llvm::dbgs(), ast_context_));
+
410 CXXConstructExpr *tmpdeclinit = dyn_cast<CXXConstructExpr>(declinit);
+
411 if ((tmpdeclinit != NULL) &&(tmpdeclinit->getConstructor()->hasTrivialBody())) {
+
412 isuserdefinedclass = false; // no need to generate a method for it
+
413 }
+
414
+
415 if (isuserdefinedclass && (tmpdeclinit != NULL)) {
+
416 // For user-defined classes:
+
417 // If there is an initializer, define the method for the initializer and
+
418 // insert a call to the method. If there are parameters, they need to be
+
419 // supplied. if it is a user-defined class defined outside an sc_module,
+
420 // the "this" parameter needs to be supplied as first parameter to the
+
421 // constructor method.
+
422
+
423 const CXXConstructorDecl *cnstrdcl = tmpdeclinit->getConstructor();
+
424 // if (cnstrdcl->hasTrivialBody()) {
+
425
+
426 // return true; // no real initializer
+
427 // }
+
428 string methodname = cnstrdcl->getNameAsString();
+
429 qualmethodname = cnstrdcl->getQualifiedNameAsString();
+
430 lutil.make_ident(qualmethodname);
+
431 LLVM_DEBUG(llvm::dbgs() << "ConstructorDecl " << methodname << ", "
+
432 << qualmethodname << " for var follows\n");
+
433 LLVM_DEBUG(cnstrdcl->dump());
+
434 // add method decl for constructor
+
435 hNodep h_callp =
+
436 new hNode(qualmethodname, hNode::hdlopsEnum::hMethodCall);
+
437 const std::vector<StringRef> tmpmodstr{"sc_module"};
+
438 if (sc_ast_matchers::utils::isInNamespace(tstp, tmpmodstr)) {
+
439 LLVM_DEBUG(llvm::dbgs()
+
440 << "user-defined class is defined in sc module\n");
+
441 } else
+
442 h_callp->append(new hNode(FindVname(vardecl), hNode::hdlopsEnum::hVarref));
+
443 methodecls.add_entry((CXXMethodDecl *)cnstrdcl, qualmethodname, h_callp);
+
444 methodecls.methodobjtypemap[(const CXXMethodDecl *)cnstrdcl] = tstp;
+
445 TraverseStmt(tmpdeclinit);
+
446 if (h_ret) {
+
447 h_callp->append(h_ret);
+
448 }
+
449 h_ret = h_callp;
+
450 //}
+
451 return true;
+
452 }
+
453
+
454
+
455 TraverseStmt(declinit);
+
456
+
457 if (h_ret) {
+
458 hNodep varinitp = new hNode(hNode::hdlopsEnum::hVarAssign);
+
459 varinitp->child_list.push_back(
+
460 new hNode(FindVname(vardecl), hNode::hdlopsEnum::hVarref));
+
461 varinitp->child_list.push_back(h_ret);
+
462 h_ret = varinitp;
+
463 }
+
464 }
+
465 return true;
+
466 }
-
452
-
-
453 bool HDLBody::VisitBinaryOperator(BinaryOperator *expr) {
-
454 // ... handle expr. Can use
-
455 // bool isIntegerConstantExpr(llvm::APSInt &Result, const ASTContext &Ctx,
-
456 // SourceLocation *Loc = nullptr,
-
457 // bool isEvaluated = true) const;
-
458 // However, might be expensive to check on every binary operator
-
459
-
460 hNodep h_binop =
-
461 new hNode(expr->getOpcodeStr().str(),
-
462 hNode::hdlopsEnum::hBinop); // node to hold binop expr
-
463
-
464 string opcodestr = expr->getOpcodeStr().str();
-
465 string exprtypstr = expr->getType().getAsString();
-
466 LLVM_DEBUG(llvm::dbgs() << "in TraverseBinaryOperator, opcode is "
-
467 << opcodestr << "\n");
-
468 // lutil.checktypematch(exprtypstr, expr->getType().getTypePtr(),
-
469 // lutil.bothofthem); //
-
470
-
471 // ========================== CHECK 1 =====================
-
472 // FIXME: Cleanup
-
473 /*
-
474 bool t11 =
-
475 ((opcodestr == ",") &&
-
476 (lutil.isSCType(exprtypstr, expr->getType().getTypePtr()) ||
-
477 lutil.isSCBuiltinType(exprtypstr, expr->getType().getTypePtr())));
-
478 bool t21 =
-
479 ((opcodestr == ",") && (lutil.isSCByType(expr->getType().getTypePtr())));
-
480
-
481 if (t11 != t21) {
-
482 llvm::dbgs() << "### CHECK1: t11 != t21\n";
-
483 assert(0); // llvm::dbgs() << t11/0;
-
484 // std::cin.get();
-
485 }
-
486 */
-
487 // ========================== END CHECK =====================
-
488 //
-
489 if ((opcodestr == ",") &&
-
490 (lutil.isSCType(exprtypstr, expr->getType().getTypePtr()) ||
-
491 lutil.isSCBuiltinType(exprtypstr, expr->getType().getTypePtr()))) {
-
492 // if ((opcodestr == ",") && (lutil.isSCType(expr->getType()){
-
493
-
494 // if ((opcodestr == ",") &&
-
495 // (lutil.isSCByType(expr->getType().getTypePtr()))){
-
496 LLVM_DEBUG(llvm::dbgs() << "found comma, with sc type, expr follows\n");
-
497 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_););
-
498 h_binop->set("concat");
-
499 }
-
500 TraverseStmt(expr->getLHS());
-
501 h_binop->child_list.push_back(h_ret);
-
502
-
503 hNodep save_h_ret = h_ret;
-
504 TraverseStmt(expr->getRHS());
-
505 if (h_ret == save_h_ret)
-
506 h_binop->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
-
507 else
-
508 h_binop->child_list.push_back(h_ret);
-
509
-
510 h_ret = h_binop;
-
511
-
512 return false;
-
513 }
+
467
+
+
468 bool HDLBody::VisitBinaryOperator(BinaryOperator *expr) {
+
469 // ... handle expr. Can use
+
470 // bool isIntegerConstantExpr(llvm::APSInt &Result, const ASTContext &Ctx,
+
471 // SourceLocation *Loc = nullptr,
+
472 // bool isEvaluated = true) const;
+
473 // However, might be expensive to check on every binary operator
+
474
+
475 hNodep h_binop =
+
476 new hNode(expr->getOpcodeStr().str(),
+
477 hNode::hdlopsEnum::hBinop); // node to hold binop expr
+
478
+
479 string opcodestr = expr->getOpcodeStr().str();
+
480 string exprtypstr = expr->getType().getAsString();
+
481 LLVM_DEBUG(llvm::dbgs() << "in TraverseBinaryOperator, opcode is "
+
482 << opcodestr << "\n");
+
483 // lutil.checktypematch(exprtypstr, expr->getType().getTypePtr(),
+
484 // lutil.bothofthem); //
+
485
+
486 // ========================== CHECK 1 =====================
+
487 // FIXME: Cleanup
+
488 /*
+
489 bool t11 =
+
490 ((opcodestr == ",") &&
+
491 (lutil.isSCType(exprtypstr, expr->getType().getTypePtr()) ||
+
492 lutil.isSCBuiltinType(exprtypstr, expr->getType().getTypePtr())));
+
493 bool t21 =
+
494 ((opcodestr == ",") && (lutil.isSCByType(expr->getType().getTypePtr())));
+
495
+
496 if (t11 != t21) {
+
497 llvm::dbgs() << "### CHECK1: t11 != t21\n";
+
498 assert(0); // llvm::dbgs() << t11/0;
+
499 // std::cin.get();
+
500 }
+
501 */
+
502 // ========================== END CHECK =====================
+
503 //
+
504 if ((opcodestr == ",") &&
+
505 (lutil.isSCType(exprtypstr, expr->getType().getTypePtr()) ||
+
506 lutil.isSCBuiltinType(exprtypstr, expr->getType().getTypePtr()))) {
+
507 // if ((opcodestr == ",") && (lutil.isSCType(expr->getType()){
+
508
+
509 // if ((opcodestr == ",") &&
+
510 // (lutil.isSCByType(expr->getType().getTypePtr()))){
+
511 LLVM_DEBUG(llvm::dbgs() << "found comma, with sc type, expr follows\n");
+
512 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_););
+
513 h_binop->set("concat");
+
514 }
+
515 TraverseStmt(expr->getLHS());
+
516 h_binop->child_list.push_back(h_ret);
+
517
+
518 hNodep save_h_ret = h_ret;
+
519 TraverseStmt(expr->getRHS());
+
520 if (h_ret == save_h_ret)
+
521 h_binop->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
+
522 else
+
523 h_binop->child_list.push_back(h_ret);
+
524
+
525 h_ret = h_binop;
+
526
+
527 return false;
+
528 }
-
514
-
-
515 bool HDLBody::VisitUnaryOperator(UnaryOperator *expr) {
-
516 LLVM_DEBUG(llvm::dbgs() << "in TraverseUnaryOperator expr node is \n");
-
517 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_););
-
518
-
519 auto opcstr = expr->getOpcode();
-
520
-
521 hNodep h_unop;
-
522
-
523 if ((expr->getOpcodeStr(opcstr).str() == "++") ||
-
524 (expr->getOpcodeStr(opcstr).str() == "--")) {
-
525 if (expr->isPostfix())
-
526 h_unop = new hNode(expr->getOpcodeStr(opcstr).str(),
-
527 hNode::hdlopsEnum::hPostfix);
-
528 else
-
529 h_unop = new hNode(expr->getOpcodeStr(opcstr).str(),
-
530 hNode::hdlopsEnum::hPrefix);
-
531 } else
-
532 h_unop = new hNode(expr->getOpcodeStr(opcstr).str(),
-
533 hNode::hdlopsEnum::hUnop); // node to hold unop expr
-
534
-
535 TraverseStmt(expr->getSubExpr());
-
536 h_unop->child_list.push_back(h_ret);
+
529
+
+
530 bool HDLBody::VisitUnaryOperator(UnaryOperator *expr) {
+
531 LLVM_DEBUG(llvm::dbgs() << "in TraverseUnaryOperator expr node is \n");
+
532 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_););
+
533
+
534 auto opcstr = expr->getOpcode();
+
535
+
536 hNodep h_unop;
537
-
538 h_ret = h_unop;
-
539
-
540 return false;
-
541 }
-
-
542
-
-
543 bool HDLBody::VisitConditionalOperator(ConditionalOperator *expr) {
-
544 LLVM_DEBUG(llvm::dbgs() << "in VisitConditionalOperator expr node is \n");
-
545 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_););
-
546
-
547 hNodep h_condop = new hNode(hNode::hdlopsEnum::hCondop);
-
548 TraverseStmt(expr->getCond());
-
549 h_condop->child_list.push_back(h_ret); // need to check if it's null or didn't get changed
-
550 TraverseStmt(expr->getTrueExpr());
-
551 h_condop->child_list.push_back(h_ret); // need to check if it's null or didn't get changed
-
552 TraverseStmt(expr->getFalseExpr());
-
553 h_condop->child_list.push_back(h_ret); // need to check if it's null or didn't get changed
-
554 h_ret = h_condop;
+
538 if ((expr->getOpcodeStr(opcstr).str() == "++") ||
+
539 (expr->getOpcodeStr(opcstr).str() == "--")) {
+
540 if (expr->isPostfix())
+
541 h_unop = new hNode(expr->getOpcodeStr(opcstr).str(),
+
542 hNode::hdlopsEnum::hPostfix);
+
543 else
+
544 h_unop = new hNode(expr->getOpcodeStr(opcstr).str(),
+
545 hNode::hdlopsEnum::hPrefix);
+
546 } else
+
547 h_unop = new hNode(expr->getOpcodeStr(opcstr).str(),
+
548 hNode::hdlopsEnum::hUnop); // node to hold unop expr
+
549
+
550 TraverseStmt(expr->getSubExpr());
+
551 h_unop->child_list.push_back(h_ret);
+
552
+
553 h_ret = h_unop;
+
554
555 return false;
556 }
557
-
558 bool HDLBody::VisitIntegerLiteral(IntegerLiteral *lit) {
-
559 LLVM_DEBUG(llvm::dbgs() << "In integerliteral\n");
-
560 string s = systemc_clang::utils::apint::toString(lit->getValue());
-
561 h_ret = new hNode(s, hNode::hdlopsEnum::hLiteral);
-
562
-
563 return false;
-
564 }
+
558 bool HDLBody::VisitConditionalOperator(ConditionalOperator *expr) {
+
559 LLVM_DEBUG(llvm::dbgs() << "in VisitConditionalOperator expr node is \n");
+
560 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_););
+
561
+
562 hNodep h_condop = new hNode(hNode::hdlopsEnum::hCondop);
+
563 TraverseStmt(expr->getCond());
+
564 h_condop->child_list.push_back(h_ret); // need to check if it's null or didn't get changed
+
565 TraverseStmt(expr->getTrueExpr());
+
566 h_condop->child_list.push_back(h_ret); // need to check if it's null or didn't get changed
+
567 TraverseStmt(expr->getFalseExpr());
+
568 h_condop->child_list.push_back(h_ret); // need to check if it's null or didn't get changed
+
569 h_ret = h_condop;
+
570 return false;
+
571 }
-
565
-
-
566 bool HDLBody::VisitCXXBoolLiteralExpr(CXXBoolLiteralExpr *b) {
-
567 LLVM_DEBUG(llvm::dbgs() << "In boollitexpr\n");
-
568 bool v = b->getValue();
-
569 h_ret = new hNode(v ? "1" : "0", hNode::hdlopsEnum::hLiteral);
-
570
-
571 return false;
-
572 }
-
-
573
-
-
574 bool HDLBody::VisitDeclRefExpr(DeclRefExpr *expr) {
-
575 // ... handle expr
-
576 LLVM_DEBUG(llvm::dbgs() << "In TraverseDeclRefExpr\n");
+
572
+
+
573 bool HDLBody::VisitIntegerLiteral(IntegerLiteral *lit) {
+
574 LLVM_DEBUG(llvm::dbgs() << "In integerliteral\n");
+
575 string s = systemc_clang::utils::apint::toString(lit->getValue());
+
576 h_ret = new hNode(s, hNode::hdlopsEnum::hLiteral);
577
-
578 ValueDecl *value = expr->getDecl();
-
579 if (isa<EnumConstantDecl>(value)) {
-
580 EnumConstantDecl *cd = (EnumConstantDecl *)value;
-
581 LLVM_DEBUG(llvm::dbgs()
-
582 << "got enum constant value " << cd->getInitVal() << "\n");
-
583 h_ret = new hNode(systemc_clang::utils::apint::toString(cd->getInitVal()),
-
584 hNode::hdlopsEnum::hLiteral);
-
585 return false;
-
586 }
-
587
-
588 // get a name
-
589
-
590 string name = (expr->getNameInfo()).getName().getAsString();
-
591 LLVM_DEBUG(llvm::dbgs() << "name is " << name << "\n");
+
578 return false;
+
579 }
+
+
580
+
+
581 bool HDLBody::VisitCXXBoolLiteralExpr(CXXBoolLiteralExpr *b) {
+
582 LLVM_DEBUG(llvm::dbgs() << "In boollitexpr\n");
+
583 bool v = b->getValue();
+
584 h_ret = new hNode(v ? "1" : "0", hNode::hdlopsEnum::hLiteral);
+
585
+
586 return false;
+
587 }
+
+
588
+
+
589 bool HDLBody::VisitDeclRefExpr(DeclRefExpr *expr) {
+
590 // ... handle expr
+
591 LLVM_DEBUG(llvm::dbgs() << "In TraverseDeclRefExpr\n");
592
-
593 // if this is variable reference has a constant initializer, return that value
-
594 if (isa<VarDecl>(value) && ((VarDecl *)value)->isConstexpr()) {
-
595 VarDecl *vard = (VarDecl *)value;
-
596 Expr *einit = vard->getInit();
-
597 clang::Expr::EvalResult result;
-
598 if (einit->EvaluateAsInt(result, vard->getASTContext())) {
-
599 h_ret =
-
600 new hNode(systemc_clang::utils::apint::toString(result.Val.getInt()),
-
601 hNode::hdlopsEnum::hLiteral);
-
602 return false;
-
603 }
-
604 }
-
605 if (isa<FunctionDecl>(value)) {
-
606 // ============= CHECK ================
-
607 /*
-
608 bool t1 = !(lutil.isSCFunc(name) || lutil.isSCMacro(name));
-
609 bool t2 = !lutil.isSCByCallExpr(expr);
-
610
-
611 if (t1 != t2) {
-
612 llvm::dbgs() << "@@@@ CHECK isSCFunc failed " << t1 << " t2 " << t2
-
613 << " name " << name << "\n";
-
614 assert(0);
-
615 // std::cin.get();
-
616 }
-
617 */
-
618 // ============= END CHECK ================
-
619 if (!(lutil.isSCFunc(name) ||
-
620 lutil.isSCMacro(name))) { // similar to method call, skip builtin
-
621 FunctionDecl *funval = (FunctionDecl *)value;
-
622
-
623 string qualfuncname{value->getQualifiedNameAsString()};
-
624 lutil.make_ident(qualfuncname);
-
625 if (add_info)
-
626 qualfuncname +=
-
627 ":" + name; // !!! add unqualified name for future hcode processing
-
628 // methodecls[qualfuncname] =
-
629 // (FunctionDecl *)value; // add to list of "methods" to be generated
-
630 // methodecls.insert(make_pair(qualfuncname, (FunctionDecl *)value));
-
631
-
632 // create the call expression
-
633 hNodep hfuncall = new hNode(qualfuncname, hNode::hdlopsEnum::hMethodCall);
-
634 // don't add this method to methodecls if processing modinit
-
635 if (!add_info) {
-
636 string tmpname = FindFname((FunctionDecl *)value);
-
637 if (tmpname == "") { // isn't in local or global symbol table
-
638 LLVM_DEBUG(llvm::dbgs() << "adding method " << qualfuncname
-
639 << " with pointer " << value << " \n");
-
640 LLVM_DEBUG(methodecls.print(llvm::dbgs()));
-
641 methodecls.add_entry((CXXMethodDecl *)funval, qualfuncname, hfuncall);
-
642 } else
-
643 hfuncall->set(tmpname);
-
644 }
-
645 h_ret = hfuncall;
-
646 return false;
-
647 } else { // here it is an SCFunc
-
648 string typname = (expr->getType()).getAsString();
-
649 if (typname.find("sc_dt::sc_concat") != std::string::npos) {
-
650 // found concat function call
-
651 hNodep hconcat = new hNode(name, hNode::hdlopsEnum::hBinop);
-
652 h_ret = hconcat;
-
653 return false;
-
654 }
-
655 h_ret = new hNode(name, hNode::hdlopsEnum::hBuiltinFunction);
-
656 return false;
-
657 // may have other special functions to recognize later
-
658 }
+
593 ValueDecl *value = expr->getDecl();
+
594 if (isa<EnumConstantDecl>(value)) {
+
595 EnumConstantDecl *cd = (EnumConstantDecl *)value;
+
596 LLVM_DEBUG(llvm::dbgs()
+
597 << "got enum constant value " << cd->getInitVal() << "\n");
+
598 h_ret = new hNode(systemc_clang::utils::apint::toString(cd->getInitVal()),
+
599 hNode::hdlopsEnum::hLiteral);
+
600 return false;
+
601 }
+
602
+
603 // get a name
+
604
+
605 string name = (expr->getNameInfo()).getName().getAsString();
+
606 LLVM_DEBUG(llvm::dbgs() << "name is " << name << "\n");
+
607
+
608 // if this is variable reference has a constant initializer, return that value
+
609 if (isa<VarDecl>(value) && ((VarDecl *)value)->isConstexpr()) {
+
610 VarDecl *vard = (VarDecl *)value;
+
611 Expr *einit = vard->getInit();
+
612 clang::Expr::EvalResult result;
+
613 if (einit->EvaluateAsInt(result, vard->getASTContext())) {
+
614 h_ret =
+
615 new hNode(systemc_clang::utils::apint::toString(result.Val.getInt()),
+
616 hNode::hdlopsEnum::hLiteral);
+
617 return false;
+
618 }
+
619 }
+
620 if (isa<FunctionDecl>(value)) {
+
621 // ============= CHECK ================
+
622 /*
+
623 bool t1 = !(lutil.isSCFunc(name) || lutil.isSCMacro(name));
+
624 bool t2 = !lutil.isSCByCallExpr(expr);
+
625
+
626 if (t1 != t2) {
+
627 llvm::dbgs() << "@@@@ CHECK isSCFunc failed " << t1 << " t2 " << t2
+
628 << " name " << name << "\n";
+
629 assert(0);
+
630 // std::cin.get();
+
631 }
+
632 */
+
633 // ============= END CHECK ================
+
634 if (!(lutil.isSCFunc(name) ||
+
635 lutil.isSCMacro(name))) { // similar to method call, skip builtin
+
636 FunctionDecl *funval = (FunctionDecl *)value;
+
637
+
638 string qualfuncname{value->getQualifiedNameAsString()};
+
639 lutil.make_ident(qualfuncname);
+
640 if (add_info)
+
641 qualfuncname +=
+
642 ":" + name; // !!! add unqualified name for future hcode processing
+
643 // methodecls[qualfuncname] =
+
644 // (FunctionDecl *)value; // add to list of "methods" to be generated
+
645 // methodecls.insert(make_pair(qualfuncname, (FunctionDecl *)value));
+
646
+
647 // create the call expression
+
648 hNodep hfuncall = new hNode(qualfuncname, hNode::hdlopsEnum::hMethodCall);
+
649 // don't add this method to methodecls if processing modinit
+
650 if (!add_info) {
+
651 string tmpname = FindFname((FunctionDecl *)value);
+
652 if (tmpname == "") { // isn't in local or global symbol table
+
653 LLVM_DEBUG(llvm::dbgs() << "adding method " << qualfuncname
+
654 << " with pointer " << value << " \n");
+
655 LLVM_DEBUG(methodecls.print(llvm::dbgs()));
+
656 methodecls.add_entry((CXXMethodDecl *)funval, qualfuncname, hfuncall);
+
657 } else
+
658 hfuncall->set(tmpname);
659 }
-
660
-
661 string newname = FindVname(expr->getDecl());
-
662 LLVM_DEBUG(llvm::dbgs() << "new name is " << newname << "\n");
-
663 LLVM_DEBUG(expr->getDecl()->dump(llvm::dbgs()));
-
664
-
665 h_ret =
-
666 new hNode(newname.empty() ? name : newname, hNode::hdlopsEnum::hVarref);
-
667 return false;
-
668 }
-
-
669
-
-
670 bool HDLBody::VisitArraySubscriptExpr(ArraySubscriptExpr *expr) {
-
671 LLVM_DEBUG(llvm::dbgs()
-
672 << "In TraverseArraySubscriptExpr, base, idx, tree follow\n");
-
673 LLVM_DEBUG(llvm::dbgs() << "base:\n");
-
674 LLVM_DEBUG(expr->getBase()->dump(llvm::dbgs(), ast_context_));
-
675 LLVM_DEBUG(llvm::dbgs() << "idx:\n");
-
676 LLVM_DEBUG(expr->getIdx()->dump(llvm::dbgs(), ast_context_));
-
677 LLVM_DEBUG(llvm::dbgs() << "tree:\n");
-
678 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_));
-
679 hNodep h_arrexpr = new hNode("ARRAYSUBSCRIPT", hNode::hdlopsEnum::hBinop);
-
680 TraverseStmt(expr->getLHS());
-
681 h_arrexpr->child_list.push_back(h_ret);
-
682 TraverseStmt(expr->getRHS());
-
683 h_arrexpr->child_list.push_back(h_ret);
-
684 h_ret = h_arrexpr;
-
685 return false;
-
686 }
+
660 h_ret = hfuncall;
+
661 return false;
+
662 } else { // here it is an SCFunc
+
663 string typname = (expr->getType()).getAsString();
+
664 if (typname.find("sc_dt::sc_concat") != std::string::npos) {
+
665 // found concat function call
+
666 hNodep hconcat = new hNode(name, hNode::hdlopsEnum::hBinop);
+
667 h_ret = hconcat;
+
668 return false;
+
669 }
+
670 h_ret = new hNode(name, hNode::hdlopsEnum::hBuiltinFunction);
+
671 return false;
+
672 // may have other special functions to recognize later
+
673 }
+
674 }
+
675
+
676 string newname = FindVname(expr->getDecl());
+
677 LLVM_DEBUG(llvm::dbgs() << "new name is " << newname << "\n");
+
678 LLVM_DEBUG(expr->getDecl()->dump(llvm::dbgs()));
+
679
+
680 h_ret =
+
681 new hNode(newname.empty() ? name : newname, hNode::hdlopsEnum::hVarref);
+
682 return false;
+
683 }
-
687
-
-
688 bool HDLBody::VisitCXXMemberCallExpr(CXXMemberCallExpr *callexpr) {
-
689 bool is_explicitly_overridden = false;
-
690 // this doesn't seem to help
-
691 LangOptions LangOpts;
-
692
-
693 LangOpts.CPlusPlus = true;
-
694 const PrintingPolicy Policy(LangOpts);
-
695
-
696 LLVM_DEBUG(llvm::dbgs()
-
697 << "In TraverseCXXMemberCallExpr, printing implicit object arg\n");
-
698 // Retrieves the implicit object argument for the member call.
-
699 // For example, in "x.f(5)", this returns the sub-expression "x".
-
700
-
701 Expr *rawarg = (callexpr->getImplicitObjectArgument());
-
702 LLVM_DEBUG(llvm::dbgs() << "raw implicitobjectargument follows\n");
-
703 LLVM_DEBUG(rawarg->dump(llvm::dbgs(), ast_context_));
-
704
-
705 Expr *objarg = (callexpr->getImplicitObjectArgument())->IgnoreImplicit();
-
706 LLVM_DEBUG(
-
707 llvm::dbgs() << "implicitobjectargument, ignore implicit follows\n");
-
708 LLVM_DEBUG(objarg->dump(llvm::dbgs(), ast_context_));
-
709 CXXRecordDecl *cdecl = callexpr->getRecordDecl();
-
710 const Type *typeformethodclass = cdecl->getTypeForDecl();
-
711 LLVM_DEBUG(llvm::dbgs() << "Type pointer from RecordDecl is "
-
712 << typeformethodclass << "\n");
-
713
-
714 QualType argtyp;
-
715 if (dyn_cast<ImplicitCastExpr>(rawarg)) { // cast to a specfic type
-
716 argtyp = rawarg->getType();
-
717 is_explicitly_overridden = true;
-
718 } else {
-
719 argtyp = objarg->getType();
-
720 }
-
721 LLVM_DEBUG(llvm::dbgs() << "type of x in x.f(5) is "
-
722 << argtyp.getAsString(Policy) << "\n");
-
723 QualType objtyp = callexpr->getObjectType();
-
724 LLVM_DEBUG(llvm::dbgs() << "... and object type is "
-
725 << objtyp.getAsString(Policy) << "\n");
-
726 string methodname = "NoMethod", qualmethodname = "NoQualMethod";
-
727
-
728 CXXMethodDecl *methdcl = callexpr->getMethodDecl();
-
729 if ((!is_explicitly_overridden) && (overridden_method_map_.size() > 0) &&
-
730 (overridden_method_map_.find(methdcl) != overridden_method_map_.end())) {
-
731 methdcl = const_cast<CXXMethodDecl *>(overridden_method_map_[methdcl]);
-
732 }
-
733 LLVM_DEBUG(llvm::dbgs() << "methoddecl follows\n");
-
734 LLVM_DEBUG(methdcl->dump(llvm::dbgs()));
-
735 if (isa<NamedDecl>(methdcl) && methdcl->getDeclName()) {
-
736 methodname = methdcl->getNameAsString();
-
737 qualmethodname = methdcl->getQualifiedNameAsString();
-
738 // make_ident(qualmethodname);
-
739 // methodecls[qualmethodname] = methdcl; // put it in the set of
-
740 // method decls
-
741
-
742 LLVM_DEBUG(llvm::dbgs()
-
743 << "here is method printname " << methodname << " and qual name "
-
744 << qualmethodname << " and declp " << methdcl << " \n");
-
745 if (methodname.compare(0, 8, "operator") ==
-
746 0) { // 0 means compare =, 8 is len("operator")
-
747 // the conversion we know about, can be skipped
-
748 LLVM_DEBUG(llvm::dbgs() << "Found operator conversion node\n");
-
749 TraverseStmt(objarg);
-
750 return false;
-
751 }
-
752 }
-
753
- -
755 hNode *h_callp = NULL;
-
756 LLVM_DEBUG(llvm::dbgs() << "found " << methodname << "\n");
-
757
-
758 // if type of x in x.f(5) is primitive sc type (sc_in, sc_out, sc_inout,
-
759 // sc_signal and method name is either read or write, generate a SigAssignL|R
-
760 // -- FIXME need to make sure it is templated to a primitive type
-
761
-
762 // lutil.isSCType(qualmethodname, typeformethodclass);
-
763 // lutil.checktypematch(qualmethodname, typeformethodclass, lutil.issctype);
-
764
-
765 // bool inns_result = sc_ast_matchers::utils::isInNamespace(callexpr,
-
766 // "sc_core") || sc_ast_matchers::utils::isInNamespace(callexpr, "sc_dt");
-
767 bool foundsctype = lutil.isSCType(qualmethodname, typeformethodclass);
-
768 /*
-
769 bool newfoundsctype =
-
770 lutil.isSCByCallExpr(callexpr); // || lutil.isSCType(typeformethodclass);
-
771 if (foundsctype != newfoundsctype) {
-
772 LLVM_DEBUG(llvm::dbgs()
-
773 << "CHECK callexpr isSCType nonmatch -- old one returned "
-
774 << foundsctype << " for " << qualmethodname << "\n");
-
775 callexpr->dump();
-
776 assert(0);
-
777 // std::cin.get();
-
778 // foundsctype = newfoundsctype; // ADD THIS TO TEST SEGV
-
779 }
-
780 */
-
781
-
782 // bool foundsctype = lutil.isSCByCallExpr(callexpr);
-
783
-
784 if ((methodname == "read") && foundsctype)
-
785 opc = hNode::hdlopsEnum::hSigAssignR;
-
786 else if ((methodname == "write") && foundsctype)
-
787 opc = hNode::hdlopsEnum::hSigAssignL;
-
788 else if ((methodname == "wait") && thismode == rthread)
-
789 opc = hNode::hdlopsEnum::hWait;
-
790 else if (foundsctype) { // operator from simulation library
-
791 opc = hNode::hdlopsEnum::hBuiltinFunction;
-
792 } else {
-
793 opc = hNode::hdlopsEnum::hMethodCall;
-
794 lutil.make_ident(qualmethodname);
-
795 if (add_info)
-
796 qualmethodname += ":" + methodname; // include unqualified name for
-
797 // future hcode processing !!!
-
798 // methodecls[qualmethodname] = methdcl; // put it in the set of method
-
799 // decls
-
800 h_callp = new hNode(qualmethodname, opc);
-
801 // don't add this method to methodecls if processing modinit
-
802 if (!add_info) {
-
803 string tmpname = FindFname((FunctionDecl *)methdcl);
-
804 if (tmpname == "") { // isn't in local or global symbol table
-
805 LLVM_DEBUG(llvm::dbgs() << "adding method " << qualmethodname
-
806 << " with pointer " << methdcl << " \n");
-
807 methodecls.print(llvm::dbgs());
-
808 methodecls.add_entry(methdcl, qualmethodname, h_callp);
-
809 // string objstr = objtyp.getAsString(Policy);
-
810 // lutil.make_ident(objstr);
-
811 // bool a = !isCXXMemberCallExprSystemCCall(callexpr), b
-
812 // =sc_ast_matchers::utils::isInNamespace(callexpr, "sc_core") ;
-
813
-
814 // LLVM_DEBUG(llvm::dbgs() << "is sysc call " << qualmethodname << "
-
815 // old, new "<< a
-
816 // << " " << b << " end\n");
-
817 if (!isCXXMemberCallExprSystemCCall(callexpr))
-
818 methodecls.methodobjtypemap[methdcl] = typeformethodclass;
-
819 } else
-
820 h_callp->set(tmpname);
-
821 }
-
822 methodname = qualmethodname;
-
823 }
-
824
-
825 if (h_callp == NULL)
-
826 h_callp = new hNode(methodname, opc); // list to hold call expr node
-
827
-
828 // check for constant expr in wait statement
-
829 if ((opc == hNode::hdlopsEnum::hWait) && (callexpr->getNumArgs() > 0)) {
-
830 GetWaitArg(h_callp, callexpr->getArg(0));
-
831 h_ret = h_callp;
-
832 return false;
-
833 }
-
834
-
835
-
836 hNodep save_hret = h_ret;
-
837 // insert "this" argument if mod init block or recognized as special method
-
838 // (read|write|wait of sc type), or it is a method but not derived for
-
839 // scmodule hierarchy
-
840 if ((add_info) || ((opc != hNode::hdlopsEnum::hMethodCall) ||
-
841 (opc == hNode::hdlopsEnum::hMethodCall) &&
-
842 (!isCXXMemberCallExprSystemCCall(callexpr)))) {
-
843 TraverseStmt(objarg); // traverse the x in x.f(5)
-
844 if (h_ret && (h_ret != save_hret)) h_callp->child_list.push_back(h_ret);
-
845 }
-
846
-
847 for (auto arg : callexpr->arguments()) {
-
848 save_hret = h_ret;
-
849 TraverseStmt(arg);
-
850 if (h_ret != save_hret) h_callp->child_list.push_back(h_ret);
-
851 }
-
852 h_ret = h_callp;
-
853 return false;
-
854 }
+
684
+
+
685 bool HDLBody::VisitArraySubscriptExpr(ArraySubscriptExpr *expr) {
+
686 LLVM_DEBUG(llvm::dbgs()
+
687 << "In TraverseArraySubscriptExpr, base, idx, tree follow\n");
+
688 LLVM_DEBUG(llvm::dbgs() << "base:\n");
+
689 LLVM_DEBUG(expr->getBase()->dump(llvm::dbgs(), ast_context_));
+
690 LLVM_DEBUG(llvm::dbgs() << "idx:\n");
+
691 LLVM_DEBUG(expr->getIdx()->dump(llvm::dbgs(), ast_context_));
+
692 LLVM_DEBUG(llvm::dbgs() << "tree:\n");
+
693 LLVM_DEBUG(expr->dump(llvm::dbgs(), ast_context_));
+
694 hNodep h_arrexpr = new hNode("ARRAYSUBSCRIPT", hNode::hdlopsEnum::hBinop);
+
695 TraverseStmt(expr->getLHS());
+
696 h_arrexpr->child_list.push_back(h_ret);
+
697 TraverseStmt(expr->getRHS());
+
698 h_arrexpr->child_list.push_back(h_ret);
+
699 h_ret = h_arrexpr;
+
700 return false;
+
701 }
-
855
-
-
856 bool HDLBody::isLogicalOp(clang::OverloadedOperatorKind opc) {
-
857 switch (opc) {
-
858 case OO_Less:
-
859 case OO_LessEqual:
-
860 case OO_Greater:
-
861 case OO_GreaterEqual:
-
862 case OO_ExclaimEqual:
-
863 case OO_EqualEqual:
-
864 return true;
-
865
-
866 default:
-
867 return false;
-
868 }
+
702
+
+
703 bool HDLBody::VisitCXXMemberCallExpr(CXXMemberCallExpr *callexpr) {
+
704 bool is_explicitly_overridden = false;
+
705 // this doesn't seem to help
+
706 LangOptions LangOpts;
+
707
+
708 LangOpts.CPlusPlus = true;
+
709 const PrintingPolicy Policy(LangOpts);
+
710
+
711 LLVM_DEBUG(llvm::dbgs()
+
712 << "In TraverseCXXMemberCallExpr, printing implicit object arg\n");
+
713 // Retrieves the implicit object argument for the member call.
+
714 // For example, in "x.f(5)", this returns the sub-expression "x".
+
715
+
716 Expr *rawarg = (callexpr->getImplicitObjectArgument());
+
717 LLVM_DEBUG(llvm::dbgs() << "raw implicitobjectargument follows\n");
+
718 LLVM_DEBUG(rawarg->dump(llvm::dbgs(), ast_context_));
+
719
+
720 Expr *objarg = (callexpr->getImplicitObjectArgument())->IgnoreImplicit();
+
721 LLVM_DEBUG(
+
722 llvm::dbgs() << "implicitobjectargument, ignore implicit follows\n");
+
723 LLVM_DEBUG(objarg->dump(llvm::dbgs(), ast_context_));
+
724 CXXRecordDecl *cdecl = callexpr->getRecordDecl();
+
725 const Type *typeformethodclass = cdecl->getTypeForDecl();
+
726 LLVM_DEBUG(llvm::dbgs() << "Type pointer from RecordDecl is "
+
727 << typeformethodclass << "\n");
+
728
+
729 QualType argtyp;
+
730 if (dyn_cast<ImplicitCastExpr>(rawarg)) { // cast to a specfic type
+
731 argtyp = rawarg->getType();
+
732 is_explicitly_overridden = true;
+
733 } else {
+
734 argtyp = objarg->getType();
+
735 }
+
736 LLVM_DEBUG(llvm::dbgs() << "type of x in x.f(5) is "
+
737 << argtyp.getAsString(Policy) << "\n");
+
738 QualType objtyp = callexpr->getObjectType();
+
739 LLVM_DEBUG(llvm::dbgs() << "... and object type is "
+
740 << objtyp.getAsString(Policy) << "\n");
+
741 string methodname = "NoMethod", qualmethodname = "NoQualMethod";
+
742
+
743 CXXMethodDecl *methdcl = callexpr->getMethodDecl();
+
744 if ((!is_explicitly_overridden) && (overridden_method_map_.size() > 0) &&
+
745 (overridden_method_map_.find(methdcl) != overridden_method_map_.end())) {
+
746 methdcl = const_cast<CXXMethodDecl *>(overridden_method_map_[methdcl]);
+
747 }
+
748 LLVM_DEBUG(llvm::dbgs() << "methoddecl follows\n");
+
749 LLVM_DEBUG(methdcl->dump(llvm::dbgs()));
+
750 if (isa<NamedDecl>(methdcl) && methdcl->getDeclName()) {
+
751 methodname = methdcl->getNameAsString();
+
752 qualmethodname = methdcl->getQualifiedNameAsString();
+
753 // make_ident(qualmethodname);
+
754 // methodecls[qualmethodname] = methdcl; // put it in the set of
+
755 // method decls
+
756
+
757 LLVM_DEBUG(llvm::dbgs()
+
758 << "here is method printname " << methodname << " and qual name "
+
759 << qualmethodname << " and declp " << methdcl << " \n");
+
760 if (methodname.compare(0, 8, "operator") ==
+
761 0) { // 0 means compare =, 8 is len("operator")
+
762 // the conversion we know about, can be skipped
+
763 LLVM_DEBUG(llvm::dbgs() << "Found operator conversion node\n");
+
764 TraverseStmt(objarg);
+
765 return false;
+
766 }
+
767 }
+
768
+ +
770 hNode *h_callp = NULL;
+
771 LLVM_DEBUG(llvm::dbgs() << "found " << methodname << "\n");
+
772
+
773 // if type of x in x.f(5) is primitive sc type (sc_in, sc_out, sc_inout,
+
774 // sc_signal and method name is either read or write, generate a SigAssignL|R
+
775 // -- FIXME need to make sure it is templated to a primitive type
+
776
+
777 // lutil.isSCType(qualmethodname, typeformethodclass);
+
778 // lutil.checktypematch(qualmethodname, typeformethodclass, lutil.issctype);
+
779
+
780 // bool inns_result = sc_ast_matchers::utils::isInNamespace(callexpr,
+
781 // "sc_core") || sc_ast_matchers::utils::isInNamespace(callexpr, "sc_dt");
+
782 bool foundsctype = lutil.isSCType(qualmethodname, typeformethodclass);
+
783 /*
+
784 bool newfoundsctype =
+
785 lutil.isSCByCallExpr(callexpr); // || lutil.isSCType(typeformethodclass);
+
786 if (foundsctype != newfoundsctype) {
+
787 LLVM_DEBUG(llvm::dbgs()
+
788 << "CHECK callexpr isSCType nonmatch -- old one returned "
+
789 << foundsctype << " for " << qualmethodname << "\n");
+
790 callexpr->dump();
+
791 assert(0);
+
792 // std::cin.get();
+
793 // foundsctype = newfoundsctype; // ADD THIS TO TEST SEGV
+
794 }
+
795 */
+
796
+
797 // bool foundsctype = lutil.isSCByCallExpr(callexpr);
+
798
+
799 if ((methodname == "read") && foundsctype)
+
800 opc = hNode::hdlopsEnum::hSigAssignR;
+
801 else if ((methodname == "write") && foundsctype)
+
802 opc = hNode::hdlopsEnum::hSigAssignL;
+
803 else if ((methodname == "wait") && thismode == rthread)
+
804 opc = hNode::hdlopsEnum::hWait;
+
805 else if (foundsctype) { // operator from simulation library
+
806 opc = hNode::hdlopsEnum::hBuiltinFunction;
+
807 } else {
+
808 opc = hNode::hdlopsEnum::hMethodCall;
+
809 lutil.make_ident(qualmethodname);
+
810 if (add_info)
+
811 qualmethodname += ":" + methodname; // include unqualified name for
+
812 // future hcode processing !!!
+
813 // methodecls[qualmethodname] = methdcl; // put it in the set of method
+
814 // decls
+
815 h_callp = new hNode(qualmethodname, opc);
+
816 // don't add this method to methodecls if processing modinit
+
817 if (!add_info) {
+
818 string tmpname = FindFname((FunctionDecl *)methdcl);
+
819 if (tmpname == "") { // isn't in local or global symbol table
+
820 LLVM_DEBUG(llvm::dbgs() << "adding method " << qualmethodname
+
821 << " with pointer " << methdcl << " \n");
+
822 methodecls.print(llvm::dbgs());
+
823 methodecls.add_entry(methdcl, qualmethodname, h_callp);
+
824 // string objstr = objtyp.getAsString(Policy);
+
825 // lutil.make_ident(objstr);
+
826 // bool a = !isCXXMemberCallExprSystemCCall(callexpr), b
+
827 // =sc_ast_matchers::utils::isInNamespace(callexpr, "sc_core") ;
+
828
+
829 // LLVM_DEBUG(llvm::dbgs() << "is sysc call " << qualmethodname << "
+
830 // old, new "<< a
+
831 // << " " << b << " end\n");
+
832 if (!isCXXMemberCallExprSystemCCall(callexpr))
+
833 methodecls.methodobjtypemap[methdcl] = typeformethodclass;
+
834 } else
+
835 h_callp->set(tmpname);
+
836 }
+
837 methodname = qualmethodname;
+
838 }
+
839
+
840 if (h_callp == NULL)
+
841 h_callp = new hNode(methodname, opc); // list to hold call expr node
+
842
+
843 // check for constant expr in wait statement
+
844 if ((opc == hNode::hdlopsEnum::hWait) && (callexpr->getNumArgs() > 0)) {
+
845 GetWaitArg(h_callp, callexpr->getArg(0));
+
846 h_ret = h_callp;
+
847 return false;
+
848 }
+
849
+
850
+
851 hNodep save_hret = h_ret;
+
852 // insert "this" argument if mod init block or recognized as special method
+
853 // (read|write|wait of sc type), or it is a method but not derived for
+
854 // scmodule hierarchy
+
855 if ((add_info) || ((opc != hNode::hdlopsEnum::hMethodCall) ||
+
856 (opc == hNode::hdlopsEnum::hMethodCall) &&
+
857 (!isCXXMemberCallExprSystemCCall(callexpr)))) {
+
858 TraverseStmt(objarg); // traverse the x in x.f(5)
+
859 if (h_ret && (h_ret != save_hret)) h_callp->child_list.push_back(h_ret);
+
860 }
+
861
+
862 for (auto arg : callexpr->arguments()) {
+
863 save_hret = h_ret;
+
864 TraverseStmt(arg);
+
865 if (h_ret != save_hret) h_callp->child_list.push_back(h_ret);
+
866 }
+
867 h_ret = h_callp;
+
868 return false;
869 }
870
-
871 bool HDLBody::VisitCXXOperatorCallExpr(CXXOperatorCallExpr *opcall) {
-
872 string operatorname = getOperatorSpelling(opcall->getOperator());
-
873 string operatortype = (opcall->getType()).getAsString();
-
874 hNodep h_operop;
-
875
-
876 LLVM_DEBUG(llvm::dbgs() << "In TraverseCXXOperatorCallExpr, Operator name is "
-
877 << operatorname << "\n");
-
878 LLVM_DEBUG(llvm::dbgs() << "Type name " << operatortype << "\n");
-
879 LLVM_DEBUG(opcall->getType()->dump(llvm::dbgs(), ast_context_));
+
871 bool HDLBody::isLogicalOp(clang::OverloadedOperatorKind opc) {
+
872 switch (opc) {
+
873 case OO_Less:
+
874 case OO_LessEqual:
+
875 case OO_Greater:
+
876 case OO_GreaterEqual:
+
877 case OO_ExclaimEqual:
+
878 case OO_EqualEqual:
+
879 return true;
880
-
881 // ========================== CHECK 2=====================
-
882 const Type *optypepointer = opcall->getType().getTypePtr();
-
883
-
884 /*
-
885 bool t12 =
-
886 ((operatorname == "=") || lutil.isSCBuiltinType(operatortype) ||
-
887 lutil.isSCType(operatortype) || (opcall->getType())->isBuiltinType() ||
-
888 ((operatorname == "<<") &&
-
889 (operatortype.find("sensitive") != std::string::npos)));
-
890 bool t22 = ((operatorname == "=") || lutil.isSCByType(optypepointer) ||
-
891 (opcall->getType())->isBuiltinType() ||
-
892 ((operatorname == "<<") &&
-
893 (operatortype.find("sensitive") != std::string::npos)));
-
894
-
895 if (t12 != t22) {
-
896 llvm::dbgs() << "CHECK### 2: t12 != t22\n";
-
897 assert(0);
-
898 // std::cin.get();
-
899 }
-
900 */
-
901 // ========================== END CHECK =====================
-
902 //
-
903
-
904 if ((operatorname == "=") ||
-
905 lutil.isSCBuiltinType(operatortype, optypepointer) ||
-
906 lutil.isSCType(operatortype, optypepointer) ||
-
907
-
908 // if ((operatorname == "=") || lutil.isSCByType(optypepointer ) ||
-
909 (opcall->getType())->isBuiltinType() ||
-
910 ((operatorname == "<<") &&
-
911 (operatortype.find("sensitive") != std::string::npos))) {
-
912 LLVM_DEBUG(llvm::dbgs() << "Processing operator call type\n");
-
913 // operator for an SC type
-
914 if ((operatorname.compare("()") == 0) &&
-
915 (operatortype.find("subref") != string::npos) &&
-
916 (opcall->getNumArgs() == 3)) {
-
917 // bit slice
-
918 h_operop = new hNode("SLICE", hNode::hdlopsEnum::hBinop);
-
919 } else {
-
920 if (operatorname == "[]") // subscript in operator call expre
-
921 h_operop = new hNode("ARRAYSUBSCRIPT", hNode::hdlopsEnum::hBinop);
-
922 else if ((operatorname == "++") || (operatorname == "--")) {
-
923 if (opcall->getNumArgs() == 2)
-
924 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hPostfix);
-
925 else
-
926 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hPrefix);
-
927 } else {
-
928 if (opcall->getNumArgs() == 1)
-
929 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hUnop);
-
930 else
-
931 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hBinop);
-
932
-
933 if ((operatorname == ",") /*&& (lutil.isSCByCallExpr(opcall))*/ )
-
934 h_operop->set("concat"); // overloaded comma is concat for sc types
-
935 //
-
936 //
-
937 }
-
938 }
-
939 int nargs = (h_operop->getopc() == hNode::hdlopsEnum::hPostfix ||
-
940 h_operop->getopc() == hNode::hdlopsEnum::hPrefix)
-
941 ? 1
-
942 : opcall->getNumArgs();
-
943 for (int i = 0; i < nargs; i++) {
-
944 hNodep save_h_ret = h_ret;
-
945 TraverseStmt(opcall->getArg(i));
-
946 if (h_ret == save_h_ret)
-
947 h_operop->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
-
948 else
-
949 h_operop->child_list.push_back(h_ret);
-
950 LLVM_DEBUG(llvm::dbgs()
-
951 << "operator call argument " << i << " follows\n");
-
952 LLVM_DEBUG(opcall->getArg(i)->dump(llvm::dbgs(), ast_context_));
+
881 default:
+
882 return false;
+
883 }
+
884 }
+
+
885
+
+
886 bool HDLBody::VisitCXXOperatorCallExpr(CXXOperatorCallExpr *opcall) {
+
887 string operatorname = getOperatorSpelling(opcall->getOperator());
+
888 string operatortype = (opcall->getType()).getAsString();
+
889 hNodep h_operop;
+
890
+
891 LLVM_DEBUG(llvm::dbgs() << "In TraverseCXXOperatorCallExpr, Operator name is "
+
892 << operatorname << "\n");
+
893 LLVM_DEBUG(llvm::dbgs() << "Type name " << operatortype << "\n");
+
894 LLVM_DEBUG(opcall->getType()->dump(llvm::dbgs(), ast_context_));
+
895
+
896 // ========================== CHECK 2=====================
+
897 const Type *optypepointer = opcall->getType().getTypePtr();
+
898
+
899 /*
+
900 bool t12 =
+
901 ((operatorname == "=") || lutil.isSCBuiltinType(operatortype) ||
+
902 lutil.isSCType(operatortype) || (opcall->getType())->isBuiltinType() ||
+
903 ((operatorname == "<<") &&
+
904 (operatortype.find("sensitive") != std::string::npos)));
+
905 bool t22 = ((operatorname == "=") || lutil.isSCByType(optypepointer) ||
+
906 (opcall->getType())->isBuiltinType() ||
+
907 ((operatorname == "<<") &&
+
908 (operatortype.find("sensitive") != std::string::npos)));
+
909
+
910 if (t12 != t22) {
+
911 llvm::dbgs() << "CHECK### 2: t12 != t22\n";
+
912 assert(0);
+
913 // std::cin.get();
+
914 }
+
915 */
+
916 // ========================== END CHECK =====================
+
917 //
+
918
+
919 if ((operatorname == "=") ||
+
920 lutil.isSCBuiltinType(operatortype, optypepointer) ||
+
921 lutil.isSCType(operatortype, optypepointer) ||
+
922
+
923 // if ((operatorname == "=") || lutil.isSCByType(optypepointer ) ||
+
924 (opcall->getType())->isBuiltinType() ||
+
925 ((operatorname == "<<") &&
+
926 (operatortype.find("sensitive") != std::string::npos))) {
+
927 LLVM_DEBUG(llvm::dbgs() << "Processing operator call type\n");
+
928 // operator for an SC type
+
929 if ((operatorname.compare("()") == 0) &&
+
930 (operatortype.find("subref") != string::npos) &&
+
931 (opcall->getNumArgs() == 3)) {
+
932 // bit slice
+
933 h_operop = new hNode("SLICE", hNode::hdlopsEnum::hBinop);
+
934 } else {
+
935 if (operatorname == "[]") // subscript in operator call expre
+
936 h_operop = new hNode("ARRAYSUBSCRIPT", hNode::hdlopsEnum::hBinop);
+
937 else if ((operatorname == "++") || (operatorname == "--")) {
+
938 if (opcall->getNumArgs() == 2)
+
939 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hPostfix);
+
940 else
+
941 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hPrefix);
+
942 } else {
+
943 if (opcall->getNumArgs() == 1)
+
944 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hUnop);
+
945 else
+
946 h_operop = new hNode(operatorname, hNode::hdlopsEnum::hBinop);
+
947
+
948 if ((operatorname == ",") /*&& (lutil.isSCByCallExpr(opcall))*/ )
+
949 h_operop->set("concat"); // overloaded comma is concat for sc types
+
950 //
+
951 //
+
952 }
953 }
-
954 h_ret = h_operop;
-
955 return false;
-
956 }
-
957
-
958 LLVM_DEBUG(llvm::dbgs() << "not yet implemented operator call expr, opc is "
-
959 << clang::getOperatorSpelling(opcall->getOperator())
-
960 << " num arguments " << opcall->getNumArgs()
-
961 << " skipping\n");
-
962 LLVM_DEBUG(opcall->dump(llvm::dbgs(), ast_context_));
-
963 h_ret = new hNode(hNode::hdlopsEnum::hUnimpl);
-
964 return false;
-
965 }
+
954 int nargs = (h_operop->getopc() == hNode::hdlopsEnum::hPostfix ||
+
955 h_operop->getopc() == hNode::hdlopsEnum::hPrefix)
+
956 ? 1
+
957 : opcall->getNumArgs();
+
958 for (int i = 0; i < nargs; i++) {
+
959 hNodep save_h_ret = h_ret;
+
960 TraverseStmt(opcall->getArg(i));
+
961 if (h_ret == save_h_ret)
+
962 h_operop->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
+
963 else
+
964 h_operop->child_list.push_back(h_ret);
+
965 LLVM_DEBUG(llvm::dbgs()
+
966 << "operator call argument " << i << " follows\n");
+
967 LLVM_DEBUG(opcall->getArg(i)->dump(llvm::dbgs(), ast_context_));
+
968 }
+
969 h_ret = h_operop;
+
970 return false;
+
971 }
+
972
+
973 LLVM_DEBUG(llvm::dbgs() << "not yet implemented operator call expr, opc is "
+
974 << clang::getOperatorSpelling(opcall->getOperator())
+
975 << " num arguments " << opcall->getNumArgs()
+
976 << " skipping\n");
+
977 LLVM_DEBUG(opcall->dump(llvm::dbgs(), ast_context_));
+
978 h_ret = new hNode(hNode::hdlopsEnum::hUnimpl);
+
979 return false;
+
980 }
-
966
-
-
967 bool HDLBody::VisitMemberExpr(MemberExpr *memberexpr) {
-
968 bool founduserclass = false;
-
969 LLVM_DEBUG(llvm::dbgs() << "In TraverseMemberExpr\n");
-
970 string nameinfo = (memberexpr->getMemberNameInfo()).getName().getAsString();
-
971 LLVM_DEBUG(llvm::dbgs() << "name is " << nameinfo
-
972 << ", base and memberdecl trees follow\n");
-
973 LLVM_DEBUG(llvm::dbgs() << "base is \n");
-
974 LLVM_DEBUG(memberexpr->getBase()->dump(llvm::dbgs(), ast_context_););
-
975 LLVM_DEBUG(llvm::dbgs() << "memberdecl is " << memberexpr->getMemberDecl()
-
976 << " \n");
-
977 // if field decl, check if parent is a userdefined type XXXXXX
-
978 LLVM_DEBUG(memberexpr->getMemberDecl()->dump(llvm::dbgs()));
-
979 if (FieldDecl *fld = dyn_cast<FieldDecl>(memberexpr->getMemberDecl())) {
-
980 LLVM_DEBUG(llvm::dbgs() << "and field decl parent record pointer is "
-
981 << fld->getParent() << "\n");
-
982 const Type *classrectype = fld->getParent()->getTypeForDecl();
-
983 LLVM_DEBUG(llvm::dbgs() << "and field decl parent record type is "
-
984 << classrectype << "\n");
-
985 if (isUserClass(classrectype)) {
-
986 LLVM_DEBUG(llvm::dbgs()
-
987 << "member expr, found user defined class in usertypes "
-
988 << classrectype << "\n");
-
989 founduserclass = true;
-
990 }
-
991 }
-
992
-
993 string thisref = founduserclass ? "hthis##" : "";
-
994 // traverse the memberexpr base in case it is a nested structure
-
995 hNodep old_h_ret = h_ret;
-
996 TraverseStmt(memberexpr->getBase()); // get hcode for the base
-
997 if (h_ret != old_h_ret) {
-
998 if (h_ret->h_op == hNode::hdlopsEnum::hVarref) {
-
999 // concatenate base name in front of field name
-
1000 hNodep memexprnode = new hNode(thisref + h_ret->h_name + "##" + nameinfo,
-
1001 hNode::hdlopsEnum::hVarref);
-
1002 delete h_ret;
-
1003 h_ret = memexprnode; // replace returned h_ret with single node, field
-
1004 // names concatenated
-
1005 return false;
-
1006 } else {
-
1007 LLVM_DEBUG(llvm::dbgs()
-
1008 << "Value returned from member expr base was not Varref\n");
-
1009 LLVM_DEBUG(h_ret->print(llvm::dbgs()));
-
1010 string newname = FindVname(memberexpr->getMemberDecl());
-
1011 LLVM_DEBUG(llvm::dbgs()
-
1012 << "member with base expr new name is " << newname << "\n");
-
1013 if ((newname == "") && (thismode != rmodinit)) {
-
1014 LLVM_DEBUG(llvm::dbgs() << "vname lookup of memberdecl is null, "
-
1015 "assuming field reference\n");
-
1016 hNodep hfieldref = new hNode(hNode::hdlopsEnum::hFieldaccess);
-
1017 hfieldref->append(h_ret);
-
1018 hfieldref->append(
-
1019 new hNode(thisref + nameinfo, hNode::hdlopsEnum::hField));
-
1020 h_ret = hfieldref;
-
1021 return false;
-
1022 } else {
-
1023 hNodep memexprnode =
-
1024 new hNode(newname == "" ? thisref + nameinfo : thisref + newname,
-
1025 hNode::hdlopsEnum::hVarref);
-
1026 memexprnode->child_list.push_back(h_ret);
-
1027 h_ret = memexprnode;
-
1028 return false;
-
1029 }
-
1030 }
-
1031 }
-
1032
-
1033 string newname = FindVname(memberexpr->getMemberDecl());
-
1034 LLVM_DEBUG(llvm::dbgs() << "member expr new name is " << newname << "\n");
-
1035
-
1036 h_ret = new hNode(newname.empty() ? thisref + nameinfo : thisref + newname,
-
1037 hNode::hdlopsEnum::hVarref);
-
1038
-
1039 return false;
-
1040 }
+
981
+
+
982 bool HDLBody::VisitMemberExpr(MemberExpr *memberexpr) {
+
983 bool founduserclass = false;
+
984 LLVM_DEBUG(llvm::dbgs() << "In TraverseMemberExpr\n");
+
985 string nameinfo = (memberexpr->getMemberNameInfo()).getName().getAsString();
+
986 LLVM_DEBUG(llvm::dbgs() << "name is " << nameinfo
+
987 << ", base and memberdecl trees follow\n");
+
988 LLVM_DEBUG(llvm::dbgs() << "base is \n");
+
989 LLVM_DEBUG(memberexpr->getBase()->dump(llvm::dbgs(), ast_context_););
+
990 LLVM_DEBUG(llvm::dbgs() << "memberdecl is " << memberexpr->getMemberDecl()
+
991 << " \n");
+
992 // if field decl, check if parent is a userdefined type XXXXXX
+
993 LLVM_DEBUG(memberexpr->getMemberDecl()->dump(llvm::dbgs()));
+
994 if (FieldDecl *fld = dyn_cast<FieldDecl>(memberexpr->getMemberDecl())) {
+
995 LLVM_DEBUG(llvm::dbgs() << "and field decl parent record pointer is "
+
996 << fld->getParent() << "\n");
+
997 const Type *classrectype = fld->getParent()->getTypeForDecl();
+
998 LLVM_DEBUG(llvm::dbgs() << "and field decl parent record type is "
+
999 << classrectype << "\n");
+
1000 if (isUserClass(classrectype)) {
+
1001 LLVM_DEBUG(llvm::dbgs()
+
1002 << "member expr, found user defined class in usertypes "
+
1003 << classrectype << "\n");
+
1004 founduserclass = true;
+
1005 }
+
1006 }
+
1007
+
1008 string thisref = founduserclass ? "hthis##" : "";
+
1009 // traverse the memberexpr base in case it is a nested structure
+
1010 hNodep old_h_ret = h_ret;
+
1011 TraverseStmt(memberexpr->getBase()); // get hcode for the base
+
1012 if (h_ret != old_h_ret) {
+
1013 if (h_ret->h_op == hNode::hdlopsEnum::hVarref) {
+
1014 // concatenate base name in front of field name
+
1015 hNodep memexprnode = new hNode(thisref + h_ret->h_name + "##" + nameinfo,
+
1016 hNode::hdlopsEnum::hVarref);
+
1017 delete h_ret;
+
1018 h_ret = memexprnode; // replace returned h_ret with single node, field
+
1019 // names concatenated
+
1020 return false;
+
1021 } else {
+
1022 LLVM_DEBUG(llvm::dbgs()
+
1023 << "Value returned from member expr base was not Varref\n");
+
1024 LLVM_DEBUG(h_ret->print(llvm::dbgs()));
+
1025 string newname = FindVname(memberexpr->getMemberDecl());
+
1026 LLVM_DEBUG(llvm::dbgs()
+
1027 << "member with base expr new name is " << newname << "\n");
+
1028 if ((newname == "")) { //&& (thismode != rmodinit)) { TRY this for new portbinding code
+
1029 LLVM_DEBUG(llvm::dbgs() << "vname lookup of memberdecl is null, "
+
1030 "assuming field reference\n");
+
1031 hNodep hfieldref = new hNode(hNode::hdlopsEnum::hFieldaccess);
+
1032 hfieldref->append(h_ret);
+
1033 hfieldref->append(
+
1034 new hNode(thisref + nameinfo, hNode::hdlopsEnum::hField));
+
1035 h_ret = hfieldref;
+
1036 return false;
+
1037 } else {
+
1038 hNodep memexprnode =
+
1039 new hNode(newname == "" ? thisref + nameinfo : thisref + newname,
+
1040 hNode::hdlopsEnum::hVarref);
+
1041 memexprnode->child_list.push_back(h_ret);
+
1042 h_ret = memexprnode;
+
1043 return false;
+
1044 }
+
1045 }
+
1046 }
+
1047
+
1048 string newname = FindVname(memberexpr->getMemberDecl());
+
1049 LLVM_DEBUG(llvm::dbgs() << "member expr new name is " << newname << "\n");
+
1050
+
1051 h_ret = new hNode(newname.empty() ? thisref + nameinfo : thisref + newname,
+
1052 hNode::hdlopsEnum::hVarref);
+
1053
+
1054 return false;
+
1055 }
-
1041
-
-
1042 bool HDLBody::VisitCallExpr(CallExpr *callexpr) {
-
1043 hNodep hcall; // = new hNode(hNode::hdlopsEnum::hMethodCall);
-
1044 hNodep save_hret = h_ret;
-
1045
-
1046 if (isa<FunctionDecl>(callexpr->getCalleeDecl()) &&
-
1047 ((FunctionDecl *)callexpr->getCalleeDecl())->isConstexpr()) {
-
1048 Expr::EvalResult res;
-
1049 if (callexpr->EvaluateAsRValue(
-
1050 res, callexpr->getCalleeDecl()->getASTContext())) {
-
1051 h_ret = new hNode(systemc_clang::utils::apint::toString(res.Val.getInt()),
-
1052 hNode::hdlopsEnum::hLiteral);
-
1053 return false;
-
1054 }
-
1055 }
1056
-
1057 TraverseStmt(callexpr->getCallee());
-
1058 // unlike methodcall, the function call name will hopefully resolve to a
-
1059 // declref. in traversedeclref, we create the hnode for the function call
-
1060 if ((h_ret !=
-
1061 save_hret) // &&
-
1062 //(h_ret->getopc() == hNode::hdlopsEnum::hMethodCall)) {
-
1063 ) {
-
1064 hcall = h_ret;
-
1065 } else {
-
1066 hcall = new hNode(
-
1067 hNode::hdlopsEnum::hMethodCall); // function name was more complicated
-
1068 hcall->child_list.push_back(h_ret);
-
1069 }
-
1070 for (auto arg : callexpr->arguments()) {
-
1071 hNodep sret = h_ret;
-
1072 TraverseStmt(arg);
-
1073 if (h_ret != sret) {
-
1074 hcall->child_list.push_back(h_ret);
-
1075 }
-
1076 }
-
1077 h_ret = hcall;
-
1078 LLVM_DEBUG(llvm::dbgs() << "found a call expr"
-
1079 << " AST follows\n ");
-
1080 LLVM_DEBUG(callexpr->dump(llvm::dbgs(), ast_context_););
-
1081 return false;
-
1082 }
+
+
1057 bool HDLBody::VisitCallExpr(CallExpr *callexpr) {
+
1058 hNodep hcall; // = new hNode(hNode::hdlopsEnum::hMethodCall);
+
1059 hNodep save_hret = h_ret;
+
1060
+
1061 if (isa<FunctionDecl>(callexpr->getCalleeDecl()) &&
+
1062 ((FunctionDecl *)callexpr->getCalleeDecl())->isConstexpr()) {
+
1063 Expr::EvalResult res;
+
1064 if (callexpr->EvaluateAsRValue(
+
1065 res, callexpr->getCalleeDecl()->getASTContext())) {
+
1066 h_ret = new hNode(systemc_clang::utils::apint::toString(res.Val.getInt()),
+
1067 hNode::hdlopsEnum::hLiteral);
+
1068 return false;
+
1069 }
+
1070 }
+
1071
+
1072 TraverseStmt(callexpr->getCallee());
+
1073 // unlike methodcall, the function call name will hopefully resolve to a
+
1074 // declref. in traversedeclref, we create the hnode for the function call
+
1075 if ((h_ret !=
+
1076 save_hret) // &&
+
1077 //(h_ret->getopc() == hNode::hdlopsEnum::hMethodCall)) {
+
1078 ) {
+
1079 hcall = h_ret;
+
1080 } else {
+
1081 hcall = new hNode(
+
1082 hNode::hdlopsEnum::hMethodCall); // function name was more complicated
+
1083 hcall->child_list.push_back(h_ret);
+
1084 }
+
1085 for (auto arg : callexpr->arguments()) {
+
1086 hNodep sret = h_ret;
+
1087 TraverseStmt(arg);
+
1088 if (h_ret != sret) {
+
1089 hcall->child_list.push_back(h_ret);
+
1090 }
+
1091 }
+
1092 h_ret = hcall;
+
1093 LLVM_DEBUG(llvm::dbgs() << "found a call expr"
+
1094 << " AST follows\n ");
+
1095 LLVM_DEBUG(callexpr->dump(llvm::dbgs(), ast_context_););
+
1096 return false;
+
1097 }
-
1083
-
-
1084 bool HDLBody::VisitIfStmt(IfStmt *ifs) {
-
1085 hNodep h_ifstmt, h_ifc = NULL, h_ifthen = NULL, h_ifelse = NULL;
-
1086 h_ifstmt = new hNode(hNode::hdlopsEnum::hIfStmt);
-
1087 if (ifs->getConditionVariable()) {
-
1088 // Variable declarations are not allowed in if conditions
-
1089 LLVM_DEBUG(llvm::dbgs() << "Variable declarations are not allowed in if "
-
1090 "conditions, skipping\n");
-
1091 return false;
-
1092 } else {
-
1093 TraverseStmt(ifs->getCond());
-
1094 h_ifc = h_ret;
-
1095 }
-
1096 TraverseStmt(ifs->getThen());
-
1097 if (h_ret != h_ifc) // unchanged if couldn't translate the then clause
-
1098 h_ifthen = h_ret;
-
1099
-
1100 if (ifs->getElse()) {
-
1101 TraverseStmt(ifs->getElse());
-
1102 if ((h_ret != h_ifc) && (h_ret != h_ifthen)) h_ifelse = h_ret;
-
1103 }
-
1104 h_ifstmt->child_list.push_back(h_ifc);
-
1105 h_ifstmt->child_list.push_back(h_ifthen);
-
1106 if (h_ifelse) h_ifstmt->child_list.push_back(h_ifelse);
-
1107 h_ret = h_ifstmt;
-
1108 return false;
-
1109 }
+
1098
+
+
1099 bool HDLBody::VisitIfStmt(IfStmt *ifs) {
+
1100 hNodep h_ifstmt, h_ifc = NULL, h_ifthen = NULL, h_ifelse = NULL;
+
1101 h_ifstmt = new hNode(hNode::hdlopsEnum::hIfStmt);
+
1102 if (ifs->getConditionVariable()) {
+
1103 // Variable declarations are not allowed in if conditions
+
1104 LLVM_DEBUG(llvm::dbgs() << "Variable declarations are not allowed in if "
+
1105 "conditions, skipping\n");
+
1106 return false;
+
1107 } else {
+
1108 TraverseStmt(ifs->getCond());
+
1109 h_ifc = h_ret;
+
1110 }
+
1111 TraverseStmt(ifs->getThen());
+
1112 if (h_ret != h_ifc) // unchanged if couldn't translate the then clause
+
1113 h_ifthen = h_ret;
+
1114
+
1115 if (ifs->getElse()) {
+
1116 TraverseStmt(ifs->getElse());
+
1117 if ((h_ret != h_ifc) && (h_ret != h_ifthen)) h_ifelse = h_ret;
+
1118 }
+
1119 h_ifstmt->child_list.push_back(h_ifc);
+
1120 h_ifstmt->child_list.push_back(h_ifthen);
+
1121 if (h_ifelse) h_ifstmt->child_list.push_back(h_ifelse);
+
1122 h_ret = h_ifstmt;
+
1123 return false;
+
1124 }
-
1110
-
-
1111 bool HDLBody::VisitForStmt(ForStmt *fors) {
-
1112 hNodep h_forstmt, h_forinit, h_forcond, h_forinc, h_forbody;
-
1113 LLVM_DEBUG(llvm::dbgs() << "For stmt\n");
-
1114 h_forstmt = new hNode(hNode::hdlopsEnum::hForStmt);
-
1115 if ((fors->getInit() != NULL) && (isa<CompoundStmt>(fors->getInit())))
-
1116 LLVM_DEBUG(llvm::dbgs()
-
1117 << "Compound stmt not handled in for init, skipping\n");
-
1118 else {
-
1119 if ((fors->getInit() != NULL) && isa<DeclStmt>(fors->getInit())) {
-
1120 LLVM_DEBUG(llvm::dbgs() << "for init is a decl stmt\n");
-
1121 LLVM_DEBUG((fors->getInit())->dump(llvm::dbgs(), ast_context_));
-
1122 }
-
1123 TraverseStmt(fors->getInit());
-
1124 }
-
1125 h_forinit = (h_ret == NULL) ? new hNode(hNode::hdlopsEnum::hNoop)
-
1126 : h_ret; // null if in place var decl
-
1127 TraverseStmt(fors->getCond());
-
1128 h_forcond = (h_ret == NULL) ? new hNode(hNode::hdlopsEnum::hNoop)
-
1129 : h_ret; // null if in place if no cond
-
1130 TraverseStmt(fors->getInc());
-
1131 h_forinc =(h_ret == NULL) ? new hNode(hNode::hdlopsEnum::hNoop)
-
1132 : h_ret; // null if in place no inc
-
1133 LLVM_DEBUG(llvm::dbgs() << "For loop body\n");
-
1134 LLVM_DEBUG(fors->getBody()->dump(llvm::dbgs(), ast_context_););
-
1135 TraverseStmt(fors->getBody());
-
1136 h_forbody = h_ret;
-
1137 h_forstmt->child_list.push_back(h_forinit);
-
1138 h_forstmt->child_list.push_back(h_forcond);
-
1139 h_forstmt->child_list.push_back(h_forinc);
-
1140 h_forstmt->child_list.push_back(h_forbody);
-
1141 h_ret = h_forstmt;
-
1142
-
1143 return false;
-
1144 }
+
1125
+
+
1126 bool HDLBody::VisitForStmt(ForStmt *fors) {
+
1127 hNodep h_forstmt, h_forinit, h_forcond, h_forinc, h_forbody;
+
1128 LLVM_DEBUG(llvm::dbgs() << "For stmt\n");
+
1129 h_forstmt = new hNode(hNode::hdlopsEnum::hForStmt);
+
1130 if ((fors->getInit() != NULL) && (isa<CompoundStmt>(fors->getInit())))
+
1131 LLVM_DEBUG(llvm::dbgs()
+
1132 << "Compound stmt not handled in for init, skipping\n");
+
1133 else {
+
1134 if ((fors->getInit() != NULL) && isa<DeclStmt>(fors->getInit())) {
+
1135 LLVM_DEBUG(llvm::dbgs() << "for init is a decl stmt\n");
+
1136 LLVM_DEBUG((fors->getInit())->dump(llvm::dbgs(), ast_context_));
+
1137 }
+
1138 TraverseStmt(fors->getInit());
+
1139 }
+
1140 h_forinit = (h_ret == NULL) ? new hNode(hNode::hdlopsEnum::hNoop)
+
1141 : h_ret; // null if in place var decl
+
1142 TraverseStmt(fors->getCond());
+
1143 h_forcond = (h_ret == NULL) ? new hNode(hNode::hdlopsEnum::hNoop)
+
1144 : h_ret; // null if in place if no cond
+
1145 TraverseStmt(fors->getInc());
+
1146 h_forinc =(h_ret == NULL) ? new hNode(hNode::hdlopsEnum::hNoop)
+
1147 : h_ret; // null if in place no inc
+
1148 LLVM_DEBUG(llvm::dbgs() << "For loop body\n");
+
1149 LLVM_DEBUG(fors->getBody()->dump(llvm::dbgs(), ast_context_););
+
1150 TraverseStmt(fors->getBody());
+
1151 h_forbody = h_ret;
+
1152 h_forstmt->child_list.push_back(h_forinit);
+
1153 h_forstmt->child_list.push_back(h_forcond);
+
1154 h_forstmt->child_list.push_back(h_forinc);
+
1155 h_forstmt->child_list.push_back(h_forbody);
+
1156 h_ret = h_forstmt;
+
1157
+
1158 return false;
+
1159 }
-
1145
-
-
1146 bool HDLBody::ProcessSwitchCase(SwitchCase *sc) {
-
1147 LLVM_DEBUG(llvm::dbgs() << "In ProcessSwitchCase\n");
-
1148 hNodep hcasep;
-
1149 hNodep old_hret = h_ret;
-
1150 if (isa<DefaultStmt>(sc)) {
-
1151 LLVM_DEBUG(llvm::dbgs() << "Found default stmt in switchcase\n");
-
1152 hcasep = new hNode(hNode::hdlopsEnum::hSwitchDefault);
-
1153 TraverseStmt((DefaultStmt *)sc->getSubStmt());
-
1154 } else {
-
1155 LLVM_DEBUG(llvm::dbgs() << "Found case stmt in switchcase\n");
-
1156 hcasep = new hNode(hNode::hdlopsEnum::hSwitchCase);
-
1157 if (ConstantExpr *expr =
-
1158 dyn_cast<ConstantExpr>(((CaseStmt *)sc)->getLHS())) {
-
1159 llvm::APSInt val = expr->getResultAsAPSInt();
-
1160 hcasep->child_list.push_back(
- -
1162 hNode::hdlopsEnum::hLiteral));
-
1163 }
-
1164 TraverseStmt((CaseStmt *)sc->getSubStmt());
-
1165 }
-
1166 if (h_ret != old_hret) {
-
1167 hcasep->child_list.push_back(h_ret);
-
1168 } else {
-
1169 hcasep->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
-
1170 }
-
1171 h_ret = hcasep;
-
1172 return true;
-
1173 }
+
1160
+
+
1161 bool HDLBody::ProcessSwitchCase(SwitchCase *sc) {
+
1162 LLVM_DEBUG(llvm::dbgs() << "In ProcessSwitchCase\n");
+
1163 hNodep hcasep;
+
1164 hNodep old_hret = h_ret;
+
1165 if (isa<DefaultStmt>(sc)) {
+
1166 LLVM_DEBUG(llvm::dbgs() << "Found default stmt in switchcase\n");
+
1167 hcasep = new hNode(hNode::hdlopsEnum::hSwitchDefault);
+
1168 TraverseStmt((DefaultStmt *)sc->getSubStmt());
+
1169 } else {
+
1170 LLVM_DEBUG(llvm::dbgs() << "Found case stmt in switchcase\n");
+
1171 hcasep = new hNode(hNode::hdlopsEnum::hSwitchCase);
+
1172 if (ConstantExpr *expr =
+
1173 dyn_cast<ConstantExpr>(((CaseStmt *)sc)->getLHS())) {
+
1174 llvm::APSInt val = expr->getResultAsAPSInt();
+
1175 hcasep->child_list.push_back(
+ +
1177 hNode::hdlopsEnum::hLiteral));
+
1178 }
+
1179 TraverseStmt((CaseStmt *)sc->getSubStmt());
+
1180 }
+
1181 if (h_ret != old_hret) {
+
1182 hcasep->child_list.push_back(h_ret);
+
1183 } else {
+
1184 hcasep->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
+
1185 }
+
1186 h_ret = hcasep;
+
1187 return true;
+
1188 }
-
1174
-
-
1175 bool HDLBody::VisitSwitchStmt(SwitchStmt *switchs) {
-
1176 hNodep h_switchstmt;
-
1177 LLVM_DEBUG(llvm::dbgs() << "Switch stmt body -----\n");
-
1178 LLVM_DEBUG(switchs->getBody()->dump(llvm::dbgs(), ast_context_););
-
1179 LLVM_DEBUG(llvm::dbgs() << "End Switch stmt body -----\n");
-
1180
-
1181 h_switchstmt = new hNode(hNode::hdlopsEnum::hSwitchStmt);
-
1182 // Stmt * swinit = dyn_cast<Stmt>(switchs->getInit());
-
1183 // if (swinit) {
-
1184 // LLVM_DEBUG(llvm::dbgs() << "switch init not handled, skipping\n");
-
1185 //}
-
1186 hNodep old_ret = h_ret;
-
1187 TraverseStmt(switchs->getCond());
-
1188 if (h_ret != old_ret) {
-
1189 h_switchstmt->child_list.push_back(h_ret);
-
1190 } else
-
1191 h_switchstmt->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
-
1192
-
1193 old_ret = h_ret;
-
1194
-
1195 TraverseStmt(switchs->getBody());
-
1196
-
1197 if (h_ret != old_ret) {
- -
1199 // here need extra code to append non switchcase hcode into previous
-
1200 // switchcase group, which happens if the switchcase isn't wrapped in
-
1201 // a compound statement {}.
-
1202
-
1203 h_switchstmt->child_list.push_back(h_ret);
-
1204 }
-
1205
-
1206 // for (SwitchCase *sc = switchs->getSwitchCaseList(); sc != NULL;
-
1207 // sc = sc->getNextSwitchCase()) {
-
1208 // LLVM_DEBUG(llvm::dbgs() << "Switch case\n");
-
1209 // LLVM_DEBUG(sc->dump(llvm::dbgs()));
-
1210 // if (isa<DefaultStmt>(sc)) {
-
1211 // LLVM_DEBUG(llvm::dbgs() << "Found default stmt in case\n");
-
1212 // }
-
1213 // else {
-
1214 // ProcessSwitchCase(sc);
-
1215 // h_switchstmt->child_list.push_back(h_ret);
-
1216 // }
-
1217 // }
-
1218 // TraverseStmt(switchs->getBody());
-
1219 // h_switchbody = h_ret;
-
1220 // h_switchstmt->child_list.push_back(h_switchinit);
-
1221
-
1222 // h_switchstmt->child_list.push_back(h_switchbody);
-
1223 h_ret = h_switchstmt;
-
1224
-
1225 return false;
-
1226 }
+
1189
+
+
1190 bool HDLBody::VisitSwitchStmt(SwitchStmt *switchs) {
+
1191 hNodep h_switchstmt;
+
1192 LLVM_DEBUG(llvm::dbgs() << "Switch stmt body -----\n");
+
1193 LLVM_DEBUG(switchs->getBody()->dump(llvm::dbgs(), ast_context_););
+
1194 LLVM_DEBUG(llvm::dbgs() << "End Switch stmt body -----\n");
+
1195
+
1196 h_switchstmt = new hNode(hNode::hdlopsEnum::hSwitchStmt);
+
1197 // Stmt * swinit = dyn_cast<Stmt>(switchs->getInit());
+
1198 // if (swinit) {
+
1199 // LLVM_DEBUG(llvm::dbgs() << "switch init not handled, skipping\n");
+
1200 //}
+
1201 hNodep old_ret = h_ret;
+
1202 TraverseStmt(switchs->getCond());
+
1203 if (h_ret != old_ret) {
+
1204 h_switchstmt->child_list.push_back(h_ret);
+
1205 } else
+
1206 h_switchstmt->child_list.push_back(new hNode(hNode::hdlopsEnum::hUnimpl));
+
1207
+
1208 old_ret = h_ret;
+
1209
+
1210 TraverseStmt(switchs->getBody());
+
1211
+
1212 if (h_ret != old_ret) {
+ +
1214 // here need extra code to append non switchcase hcode into previous
+
1215 // switchcase group, which happens if the switchcase isn't wrapped in
+
1216 // a compound statement {}.
+
1217
+
1218 h_switchstmt->child_list.push_back(h_ret);
+
1219 }
+
1220
+
1221 // for (SwitchCase *sc = switchs->getSwitchCaseList(); sc != NULL;
+
1222 // sc = sc->getNextSwitchCase()) {
+
1223 // LLVM_DEBUG(llvm::dbgs() << "Switch case\n");
+
1224 // LLVM_DEBUG(sc->dump(llvm::dbgs()));
+
1225 // if (isa<DefaultStmt>(sc)) {
+
1226 // LLVM_DEBUG(llvm::dbgs() << "Found default stmt in case\n");
+
1227 // }
+
1228 // else {
+
1229 // ProcessSwitchCase(sc);
+
1230 // h_switchstmt->child_list.push_back(h_ret);
+
1231 // }
+
1232 // }
+
1233 // TraverseStmt(switchs->getBody());
+
1234 // h_switchbody = h_ret;
+
1235 // h_switchstmt->child_list.push_back(h_switchinit);
+
1236
+
1237 // h_switchstmt->child_list.push_back(h_switchbody);
+
1238 h_ret = h_switchstmt;
+
1239
+
1240 return false;
+
1241 }
-
1227
-
-
1228 bool HDLBody::VisitWhileStmt(WhileStmt *whiles) {
-
1229 hNodep h_whilestmt, h_whilecond, h_whilebody;
-
1230 LLVM_DEBUG(llvm::dbgs() << "While stmt\n");
-
1231 h_whilestmt = new hNode(hNode::hdlopsEnum::hWhileStmt);
-
1232 if (whiles->getConditionVariable()) {
-
1233 LLVM_DEBUG(
-
1234 llvm::dbgs()
-
1235 << "Variable declarations not handled in while condition, skipping\n");
-
1236 } else {
-
1237 // Get condition
-
1238 TraverseStmt(whiles->getCond());
-
1239 h_whilecond = h_ret;
-
1240 }
-
1241
-
1242 // Get the body
-
1243 TraverseStmt(whiles->getBody());
-
1244 h_whilebody = h_ret;
-
1245 h_whilestmt->child_list.push_back(h_whilecond);
-
1246 h_whilestmt->child_list.push_back(h_whilebody);
-
1247 h_ret = h_whilestmt;
-
1248
-
1249 return false;
-
1250 }
+
1242
+
+
1243 bool HDLBody::VisitWhileStmt(WhileStmt *whiles) {
+
1244 hNodep h_whilestmt, h_whilecond, h_whilebody;
+
1245 LLVM_DEBUG(llvm::dbgs() << "While stmt\n");
+
1246 h_whilestmt = new hNode(hNode::hdlopsEnum::hWhileStmt);
+
1247 if (whiles->getConditionVariable()) {
+
1248 LLVM_DEBUG(
+
1249 llvm::dbgs()
+
1250 << "Variable declarations not handled in while condition, skipping\n");
+
1251 } else {
+
1252 // Get condition
+
1253 TraverseStmt(whiles->getCond());
+
1254 h_whilecond = h_ret;
+
1255 }
+
1256
+
1257 // Get the body
+
1258 TraverseStmt(whiles->getBody());
+
1259 h_whilebody = h_ret;
+
1260 h_whilestmt->child_list.push_back(h_whilecond);
+
1261 h_whilestmt->child_list.push_back(h_whilebody);
+
1262 h_ret = h_whilestmt;
+
1263
+
1264 return false;
+
1265 }
-
1251
-
1252 // unfortunately clang ast doesn't do inheritance on the classes
-
1253 // so code is duplicated
-
1254
-
-
1255 bool HDLBody::VisitDoStmt(DoStmt *whiles) {
-
1256 hNodep h_whilestmt, h_whilecond, h_whilebody;
-
1257 LLVM_DEBUG(llvm::dbgs() << "Do stmt\n");
-
1258 h_whilestmt = new hNode(hNode::hdlopsEnum::hDoStmt);
-
1259 // Get condition
-
1260 TraverseStmt(whiles->getCond());
-
1261 h_whilecond = h_ret;
-
1262
-
1263 // Get the body
-
1264 TraverseStmt(whiles->getBody());
-
1265 h_whilebody = h_ret;
-
1266 h_whilestmt->child_list.push_back(h_whilecond);
-
1267 h_whilestmt->child_list.push_back(h_whilebody);
-
1268 h_ret = h_whilestmt;
+
1266
+
1267 // unfortunately clang ast doesn't do inheritance on the classes
+
1268 // so code is duplicated
1269
-
1270 return false;
-
1271 }
+
+
1270 bool HDLBody::VisitDoStmt(DoStmt *whiles) {
+
1271 hNodep h_whilestmt, h_whilecond, h_whilebody;
+
1272 LLVM_DEBUG(llvm::dbgs() << "Do stmt\n");
+
1273 h_whilestmt = new hNode(hNode::hdlopsEnum::hDoStmt);
+
1274 // Get condition
+
1275 TraverseStmt(whiles->getCond());
+
1276 h_whilecond = h_ret;
+
1277
+
1278 // Get the body
+
1279 TraverseStmt(whiles->getBody());
+
1280 h_whilebody = h_ret;
+
1281 h_whilestmt->child_list.push_back(h_whilecond);
+
1282 h_whilestmt->child_list.push_back(h_whilebody);
+
1283 h_ret = h_whilestmt;
+
1284
+
1285 return false;
+
1286 }
-
1272
-
1273 // these two functions are so clumsy. The data structure should handle
-
1274 // multi-level symbol tables.
-
1275
-
-
1276 string HDLBody::FindVname(NamedDecl *vard) {
-
1277 string newname = vname_map.find_entry_newn(
-
1278 vard, thismode == rthread); // set referenced bit if in thread
-
1279 if (newname == "")
- -
1281 vard, thismode == rthread); // set referenced bit if in thread
-
1282 return newname;
-
1283 }
+
1287
+
1288 // these two functions are so clumsy. The data structure should handle
+
1289 // multi-level symbol tables.
+
1290
+
+
1291 string HDLBody::FindVname(NamedDecl *vard) {
+
1292 string newname = vname_map.find_entry_newn(
+
1293 vard, thismode == rthread); // set referenced bit if in thread
+
1294 if (newname == "")
+ +
1296 vard, thismode == rthread); // set referenced bit if in thread
+
1297 return newname;
+
1298 }
-
1284
-
-
1285 string HDLBody::FindFname(FunctionDecl *funcd) {
-
1286 string newname = methodecls.find_entry_newn(
-
1287 funcd, thismode == rthread); // set referenced bit if in thread
-
1288 if (newname == "")
- -
1290 funcd, thismode == rthread); // set referenced bit if in thread
-
1291 return newname;
-
1292 }
+
1299
+
+
1300 string HDLBody::FindFname(FunctionDecl *funcd) {
+
1301 string newname = methodecls.find_entry_newn(
+
1302 funcd, thismode == rthread); // set referenced bit if in thread
+
1303 if (newname == "")
+ +
1305 funcd, thismode == rthread); // set referenced bit if in thread
+
1306 return newname;
+
1307 }
-
1293
-
- -
1295 LLVM_DEBUG(llvm::dbgs() << "Vname Dump\n");
-
1296 // for (auto const &var : vname_map.hdecl_name_map) {
-
1297 for (auto const &var : vname_map) {
-
1298 LLVM_DEBUG(llvm::dbgs() << "(" << var.first << "," << var.second.oldn
-
1299 << ", " << var.second.newn << ")\n");
-
1300 if (add_info && (var.second.newn.find(gvar_prefix) == std::string::npos)) {
-
1301 // if this isn't a global variable
-
1302 // mark this var decl as a renamed var decl and tack on the original name
-
1303 // used in later processing of hcode
-
1304 var.second.h_vardeclp->h_op = hNode::hdlopsEnum::hVardeclrn;
-
1305 var.second.h_vardeclp->child_list.push_back(
-
1306 new hNode(var.second.oldn, hNode::hdlopsEnum::hLiteral));
-
1307 }
-
1308 if (var.second.newn.find(gvar_prefix) == std::string::npos)
-
1309 // don't add global variable to local list
-
1310 hvns->child_list.push_back(var.second.h_vardeclp);
-
1311 }
-
1312 }
+
1308
+
+ +
1310 LLVM_DEBUG(llvm::dbgs() << "Vname Dump\n");
+
1311 // for (auto const &var : vname_map.hdecl_name_map) {
+
1312 for (auto const &var : vname_map) {
+
1313 LLVM_DEBUG(llvm::dbgs() << "(" << var.first << "," << var.second.oldn
+
1314 << ", " << var.second.newn << ")\n");
+
1315 if (add_info && (var.second.newn.find(gvar_prefix) == std::string::npos)) {
+
1316 // if this isn't a global variable
+
1317 // mark this var decl as a renamed var decl and tack on the original name
+
1318 // used in later processing of hcode
+
1319 var.second.h_vardeclp->h_op = hNode::hdlopsEnum::hVardeclrn;
+
1320 var.second.h_vardeclp->child_list.push_back(
+
1321 new hNode(var.second.oldn, hNode::hdlopsEnum::hLiteral));
+
1322 }
+
1323 if (var.second.newn.find(gvar_prefix) == std::string::npos)
+
1324 // don't add global variable to local list
+
1325 hvns->child_list.push_back(var.second.h_vardeclp);
+
1326 }
+
1327 }
-
1313
-
1314
-
- -
1316 // break up chain of assignments a = b = c = d = 0;
-
1317 // at entry there is a chain of at least two: a = b = 0;
-
1318
-
1319 hNodep hassignchain = new hNode(hNode::hdlopsEnum::hCStmt);
-
1320 hNodep htmp = hinp; // (= a subtree)
-
1321 do {
-
1322 hNodep htmp2 = htmp->child_list[1]; // (= b subtree)
-
1323 htmp->child_list[1] = htmp2->child_list[0]; // (= a b)
-
1324 hassignchain->child_list.push_back(htmp);
-
1325 htmp = htmp2; // (= b subtree)
-
1326 } while (isAssignOp(htmp->child_list[1]));
-
1327 hassignchain->child_list.push_back(htmp);
-
1328 std::reverse(hassignchain->child_list.begin(),
-
1329 hassignchain->child_list.end());
-
1330 return hassignchain;
-
1331 }
+
1328
+
1329
+
+ +
1331 // break up chain of assignments a = b = c = d = 0;
+
1332 // at entry there is a chain of at least two: a = b = 0;
+
1333
+
1334 hNodep hassignchain = new hNode(hNode::hdlopsEnum::hCStmt);
+
1335 hNodep htmp = hinp; // (= a subtree)
+
1336 do {
+
1337 hNodep htmp2 = htmp->child_list[1]; // (= b subtree)
+
1338 htmp->child_list[1] = htmp2->child_list[0]; // (= a b)
+
1339 hassignchain->child_list.push_back(htmp);
+
1340 htmp = htmp2; // (= b subtree)
+
1341 } while (isAssignOp(htmp->child_list[1]));
+
1342 hassignchain->child_list.push_back(htmp);
+
1343 std::reverse(hassignchain->child_list.begin(),
+
1344 hassignchain->child_list.end());
+
1345 return hassignchain;
+
1346 }
-
-
1332 void HDLBody::GetWaitArg(hNodep &h_callp, Expr *callarg) {
-
1333 int64_t waitarg = 0;
-
1334 if (callarg->isEvaluatable(ast_context_)) {
-
1335 clang::Expr::EvalResult result{};
-
1336 callarg->EvaluateAsInt(result, ast_context_);
-
1337 waitarg = result.Val.getInt().getExtValue();
-
1338 llvm::dbgs() << " wait arg val: " << waitarg << "\n";
-
1339 }
-
1340 hNodep arglit = new hNode( std::to_string(waitarg), hNode::hdlopsEnum::hLiteral);
-
1341 h_callp->append(arglit);
-
1342 }
+
+
1347 void HDLBody::GetWaitArg(hNodep &h_callp, Expr *callarg) {
+
1348 int64_t waitarg = 0;
+
1349 if (callarg->isEvaluatable(ast_context_)) {
+
1350 clang::Expr::EvalResult result{};
+
1351 callarg->EvaluateAsInt(result, ast_context_);
+
1352 waitarg = result.Val.getInt().getExtValue();
+
1353 llvm::dbgs() << " wait arg val: " << waitarg << "\n";
+
1354 }
+
1355 hNodep arglit = new hNode( std::to_string(waitarg), hNode::hdlopsEnum::hLiteral);
+
1356 h_callp->append(arglit);
+
1357 }
-
1343
-
- -
1345 if (hswitchstmt->child_list.size() == 0) return;
-
1346 hNodep hprev = hswitchstmt->child_list[0]; // should be a switchcase node
-
1347 for (int i = 1; i < hswitchstmt->child_list.size(); i++) {
-
1348 if ((hswitchstmt->child_list[i]->getopc() !=
-
1349 hNode::hdlopsEnum::hSwitchCase) &&
-
1350 (hswitchstmt->child_list[i]->getopc() !=
-
1351 hNode::hdlopsEnum::hSwitchDefault)) {
-
1352 hNodep htmp = new hNode((hswitchstmt->child_list[i])->getname(),
-
1353 (hswitchstmt->child_list[i])->getopc());
-
1354 htmp->child_list = (hswitchstmt->child_list[i])->child_list;
-
1355 hprev->append(htmp);
-
1356 hswitchstmt->child_list[i]->set(hNode::hdlopsEnum::hLast);
-
1357 } else
-
1358 hprev = hswitchstmt->child_list[i];
-
1359 }
-
1360 hswitchstmt->child_list.erase(
-
1361 std::remove_if(
-
1362 hswitchstmt->child_list.begin(), hswitchstmt->child_list.end(),
-
1363 [](hNodep hp) { return hp->getopc() == hNode::hdlopsEnum::hLast; }),
-
1364 hswitchstmt->child_list.end());
-
1365 }
+
1358
+
+ +
1360 if (hswitchstmt->child_list.size() == 0) return;
+
1361 hNodep hprev = hswitchstmt->child_list[0]; // should be a switchcase node
+
1362 for (int i = 1; i < hswitchstmt->child_list.size(); i++) {
+
1363 if ((hswitchstmt->child_list[i]->getopc() !=
+
1364 hNode::hdlopsEnum::hSwitchCase) &&
+
1365 (hswitchstmt->child_list[i]->getopc() !=
+
1366 hNode::hdlopsEnum::hSwitchDefault)) {
+
1367 hNodep htmp = new hNode((hswitchstmt->child_list[i])->getname(),
+
1368 (hswitchstmt->child_list[i])->getopc());
+
1369 htmp->child_list = (hswitchstmt->child_list[i])->child_list;
+
1370 hprev->append(htmp);
+
1371 hswitchstmt->child_list[i]->set(hNode::hdlopsEnum::hLast);
+
1372 } else
+
1373 hprev = hswitchstmt->child_list[i];
+
1374 }
+
1375 hswitchstmt->child_list.erase(
+
1376 std::remove_if(
+
1377 hswitchstmt->child_list.begin(), hswitchstmt->child_list.end(),
+
1378 [](hNodep hp) { return hp->getopc() == hNode::hdlopsEnum::hLast; }),
+
1379 hswitchstmt->child_list.end());
+
1380 }
-
1366
-
1367 // CXXMethodDecl *HDLBody::getEMD() {
-
1368 // return _emd;
-
1369 // }
-
1370} // namespace systemc_hdl
+
1381
+
1382 // CXXMethodDecl *HDLBody::getEMD() {
+
1383 // return _emd;
+
1384 // }
+
1385} // namespace systemc_hdl
@@ -1558,57 +1581,57 @@
Tree< TemplateType > * getTemplateArgTreePtr()
void Enumerate(const clang::Type *type)
const ASTContext & ast_context_
Definition HDLBody.h:130
-
bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *opcall)
Definition HDLBody.cpp:871
-
bool VisitBinaryOperator(BinaryOperator *expr)
Definition HDLBody.cpp:453
+
bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *opcall)
Definition HDLBody.cpp:886
+
bool VisitBinaryOperator(BinaryOperator *expr)
Definition HDLBody.cpp:468
HDLBodyMode thismode
Definition HDLBody.h:102
-
bool isLogicalOp(clang::OverloadedOperatorKind opc)
Definition HDLBody.cpp:856
+
bool isLogicalOp(clang::OverloadedOperatorKind opc)
Definition HDLBody.cpp:871
bool VisitCaseStmt(CaseStmt *stmt)
Definition HDLBody.cpp:234
-
string FindFname(FunctionDecl *funcd)
Definition HDLBody.cpp:1285
+
string FindFname(FunctionDecl *funcd)
Definition HDLBody.cpp:1300
hdecl_name_map_t vname_map
Definition HDLBody.h:88
overridden_method_map_t & overridden_method_map_
Definition HDLBody.h:90
hfunc_name_map_t methodecls
Definition HDLBody.h:84
bool VisitCompoundStmt(CompoundStmt *compoundStmt)
Definition HDLBody.cpp:284
bool VisitDefaultStmt(DefaultStmt *stmt)
Definition HDLBody.cpp:257
-
void NormalizeSwitchStmt(hNodep hswitchbody)
Definition HDLBody.cpp:1344
-
void GetWaitArg(hNodep &h_callp, Expr *callarg)
Definition HDLBody.cpp:1332
-
bool VisitConditionalOperator(ConditionalOperator *expr)
Definition HDLBody.cpp:543
+
void NormalizeSwitchStmt(hNodep hswitchbody)
Definition HDLBody.cpp:1359
+
void GetWaitArg(hNodep &h_callp, Expr *callarg)
Definition HDLBody.cpp:1347
+
bool VisitConditionalOperator(ConditionalOperator *expr)
Definition HDLBody.cpp:558
bool VisitReturnStmt(ReturnStmt *stmt)
Definition HDLBody.cpp:224
bool VisitDeclStmt(DeclStmt *declstmt)
Definition HDLBody.cpp:315
bool ProcessVarDecl(VarDecl *vardecl)
Definition HDLBody.cpp:332
-
bool VisitMemberExpr(MemberExpr *memberexpr)
Definition HDLBody.cpp:967
+
bool VisitMemberExpr(MemberExpr *memberexpr)
Definition HDLBody.cpp:982
string generate_vname(string nm)
Definition HDLBody.h:124
-
bool VisitUnaryOperator(UnaryOperator *expr)
Definition HDLBody.cpp:515
+
bool VisitUnaryOperator(UnaryOperator *expr)
Definition HDLBody.cpp:530
bool isUserClass(const Type *classrectype)
Definition HDLBody.h:107
-
bool VisitArraySubscriptExpr(ArraySubscriptExpr *expr)
Definition HDLBody.cpp:670
-
void AddVnames(hNodep &hvns)
Definition HDLBody.cpp:1294
-
bool VisitSwitchStmt(SwitchStmt *switchs)
Definition HDLBody.cpp:1175
+
bool VisitArraySubscriptExpr(ArraySubscriptExpr *expr)
Definition HDLBody.cpp:685
+
void AddVnames(hNodep &hvns)
Definition HDLBody.cpp:1309
+
bool VisitSwitchStmt(SwitchStmt *switchs)
Definition HDLBody.cpp:1190
bool TraverseStmt(Stmt *stmt)
Definition HDLBody.cpp:111
HDLBody(clang::DiagnosticsEngine &diag_engine, const ASTContext &ast_context, hdecl_name_map_t &mod_vname_map, hfunc_name_map_t &allmethodecls, overridden_method_map_t &overridden_method_map)
Definition HDLBody.cpp:63
hdecl_name_map_t & mod_vname_map_
Definition HDLBody.h:96
hfunc_name_map_t & allmethodecls_
Definition HDLBody.h:103
bool isAssignOp(hNodep hp)
Definition HDLBody.h:115
-
bool VisitWhileStmt(WhileStmt *whiles)
Definition HDLBody.cpp:1228
+
bool VisitWhileStmt(WhileStmt *whiles)
Definition HDLBody.cpp:1243
bool VisitContinueStmt(ContinueStmt *stmt)
Definition HDLBody.cpp:277
HDLType * HDLt_userclassesp_
Definition HDLBody.h:105
void Run(Stmt *stmt, hNodep &h_top, HDLBodyMode runmode, HDLType *HDLt_userclassesp=NULL)
Definition HDLBody.cpp:75
-
hNodep NormalizeAssignmentChain(hNodep hinp)
Definition HDLBody.cpp:1315
+
hNodep NormalizeAssignmentChain(hNodep hinp)
Definition HDLBody.cpp:1330
bool VisitInitListExpr(InitListExpr *stmt)
Definition HDLBody.cpp:214
-
bool ProcessSwitchCase(SwitchCase *cases)
Definition HDLBody.cpp:1146
-
bool VisitDoStmt(DoStmt *whiles)
Definition HDLBody.cpp:1255
-
bool VisitCXXBoolLiteralExpr(CXXBoolLiteralExpr *b)
Definition HDLBody.cpp:566
-
bool VisitIfStmt(IfStmt *ifs)
Definition HDLBody.cpp:1084
-
bool VisitCallExpr(CallExpr *callexpr)
Definition HDLBody.cpp:1042
+
bool ProcessSwitchCase(SwitchCase *cases)
Definition HDLBody.cpp:1161
+
bool VisitDoStmt(DoStmt *whiles)
Definition HDLBody.cpp:1270
+
bool VisitCXXBoolLiteralExpr(CXXBoolLiteralExpr *b)
Definition HDLBody.cpp:581
+
bool VisitIfStmt(IfStmt *ifs)
Definition HDLBody.cpp:1099
+
bool VisitCallExpr(CallExpr *callexpr)
Definition HDLBody.cpp:1057
bool VisitCXXTemporaryObjectExpr(CXXTemporaryObjectExpr *stmt)
Definition HDLBody.cpp:199
bool VisitBreakStmt(BreakStmt *stmt)
Definition HDLBody.cpp:270
-
string FindVname(NamedDecl *vard)
Definition HDLBody.cpp:1276
-
bool VisitCXXMemberCallExpr(CXXMemberCallExpr *callexpr)
Definition HDLBody.cpp:688
-
bool VisitDeclRefExpr(DeclRefExpr *expr)
Definition HDLBody.cpp:574
-
bool VisitForStmt(ForStmt *fors)
Definition HDLBody.cpp:1111
-
bool VisitIntegerLiteral(IntegerLiteral *lit)
Definition HDLBody.cpp:558
+
string FindVname(NamedDecl *vard)
Definition HDLBody.cpp:1291
+
bool VisitCXXMemberCallExpr(CXXMemberCallExpr *callexpr)
Definition HDLBody.cpp:703
+
bool VisitDeclRefExpr(DeclRefExpr *expr)
Definition HDLBody.cpp:589
+
bool VisitForStmt(ForStmt *fors)
Definition HDLBody.cpp:1126
+
bool VisitIntegerLiteral(IntegerLiteral *lit)
Definition HDLBody.cpp:573
Definition hNode.h:24
std::unordered_map< const CXXMethodDecl *, const CXXMethodDecl * > overridden_method_map_t
Definition hNode.h:628
ArraySizesType getConstantArraySizes(const clang::ValueDecl *fd)
@@ -1624,7 +1647,8 @@
+
diff --git a/HDLBody_8h.html b/HDLBody_8h.html index 3670d0dc..63c04513 100644 --- a/HDLBody_8h.html +++ b/HDLBody_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLBody.h File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -109,18 +122,18 @@ - + - + - + @@ -131,18 +144,18 @@ - + - + - + - + @@ -161,9 +174,9 @@ - - - + + + @@ -172,7 +185,7 @@ - + @@ -188,22 +201,22 @@ - - - - + + + + - + - + - + @@ -213,13 +226,13 @@ - + - + - - + + @@ -260,7 +273,7 @@ - + @@ -316,7 +329,7 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 

@@ -335,7 +348,8 @@ + diff --git a/HDLBody_8h__incl.map b/HDLBody_8h__incl.map index 240da0c4..407d71dc 100644 --- a/HDLBody_8h__incl.map +++ b/HDLBody_8h__incl.map @@ -6,18 +6,18 @@ - + - + - + @@ -28,18 +28,18 @@ - + - + - + - + @@ -58,9 +58,9 @@ - - - + + + @@ -69,7 +69,7 @@ - + @@ -85,22 +85,22 @@ - - - - + + + + - + - + - + @@ -110,13 +110,13 @@ - + - + - - + + @@ -157,7 +157,7 @@ - + diff --git a/HDLBody_8h__incl.png b/HDLBody_8h__incl.png index d3c796cf..9483c56c 100644 Binary files a/HDLBody_8h__incl.png and b/HDLBody_8h__incl.png differ diff --git a/HDLBody_8h_source.html b/HDLBody_8h_source.html index 179c0fc7..e5e53244 100644 --- a/HDLBody_8h_source.html +++ b/HDLBody_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLBody.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
HDLBody.h
@@ -268,60 +276,60 @@
const ASTContext & ast_context_
Definition HDLBody.h:130
-
bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *opcall)
Definition HDLBody.cpp:871
-
bool VisitBinaryOperator(BinaryOperator *expr)
Definition HDLBody.cpp:453
+
bool VisitCXXOperatorCallExpr(CXXOperatorCallExpr *opcall)
Definition HDLBody.cpp:886
+
bool VisitBinaryOperator(BinaryOperator *expr)
Definition HDLBody.cpp:468
HDLBodyMode thismode
Definition HDLBody.h:102
-
bool isLogicalOp(clang::OverloadedOperatorKind opc)
Definition HDLBody.cpp:856
+
bool isLogicalOp(clang::OverloadedOperatorKind opc)
Definition HDLBody.cpp:871
bool VisitCaseStmt(CaseStmt *stmt)
Definition HDLBody.cpp:234
-
string FindFname(FunctionDecl *funcd)
Definition HDLBody.cpp:1285
+
string FindFname(FunctionDecl *funcd)
Definition HDLBody.cpp:1300
hdecl_name_map_t vname_map
Definition HDLBody.h:88
overridden_method_map_t & overridden_method_map_
Definition HDLBody.h:90
hfunc_name_map_t methodecls
Definition HDLBody.h:84
bool VisitCompoundStmt(CompoundStmt *compoundStmt)
Definition HDLBody.cpp:284
bool VisitDefaultStmt(DefaultStmt *stmt)
Definition HDLBody.cpp:257
-
void NormalizeSwitchStmt(hNodep hswitchbody)
Definition HDLBody.cpp:1344
-
void GetWaitArg(hNodep &h_callp, Expr *callarg)
Definition HDLBody.cpp:1332
-
bool VisitConditionalOperator(ConditionalOperator *expr)
Definition HDLBody.cpp:543
+
void NormalizeSwitchStmt(hNodep hswitchbody)
Definition HDLBody.cpp:1359
+
void GetWaitArg(hNodep &h_callp, Expr *callarg)
Definition HDLBody.cpp:1347
+
bool VisitConditionalOperator(ConditionalOperator *expr)
Definition HDLBody.cpp:558
bool VisitReturnStmt(ReturnStmt *stmt)
Definition HDLBody.cpp:224
bool VisitDeclStmt(DeclStmt *declstmt)
Definition HDLBody.cpp:315
bool ProcessVarDecl(VarDecl *vardecl)
Definition HDLBody.cpp:332
-
bool VisitMemberExpr(MemberExpr *memberexpr)
Definition HDLBody.cpp:967
+
bool VisitMemberExpr(MemberExpr *memberexpr)
Definition HDLBody.cpp:982
string generate_vname(string nm)
Definition HDLBody.h:124
-
bool VisitUnaryOperator(UnaryOperator *expr)
Definition HDLBody.cpp:515
+
bool VisitUnaryOperator(UnaryOperator *expr)
Definition HDLBody.cpp:530
bool isUserClass(const Type *classrectype)
Definition HDLBody.h:107
const string nextstate_string
Definition HDLBody.h:100
-
bool VisitArraySubscriptExpr(ArraySubscriptExpr *expr)
Definition HDLBody.cpp:670
-
void AddVnames(hNodep &hvns)
Definition HDLBody.cpp:1294
-
bool VisitSwitchStmt(SwitchStmt *switchs)
Definition HDLBody.cpp:1175
+
bool VisitArraySubscriptExpr(ArraySubscriptExpr *expr)
Definition HDLBody.cpp:685
+
void AddVnames(hNodep &hvns)
Definition HDLBody.cpp:1309
+
bool VisitSwitchStmt(SwitchStmt *switchs)
Definition HDLBody.cpp:1190
bool TraverseStmt(Stmt *stmt)
Definition HDLBody.cpp:111
HDLBody(clang::DiagnosticsEngine &diag_engine, const ASTContext &ast_context, hdecl_name_map_t &mod_vname_map, hfunc_name_map_t &allmethodecls, overridden_method_map_t &overridden_method_map)
Definition HDLBody.cpp:63
hdecl_name_map_t & mod_vname_map_
Definition HDLBody.h:96
hfunc_name_map_t & allmethodecls_
Definition HDLBody.h:103
bool isAssignOp(hNodep hp)
Definition HDLBody.h:115
-
bool VisitWhileStmt(WhileStmt *whiles)
Definition HDLBody.cpp:1228
+
bool VisitWhileStmt(WhileStmt *whiles)
Definition HDLBody.cpp:1243
bool VisitContinueStmt(ContinueStmt *stmt)
Definition HDLBody.cpp:277
HDLType * HDLt_userclassesp_
Definition HDLBody.h:105
void Run(Stmt *stmt, hNodep &h_top, HDLBodyMode runmode, HDLType *HDLt_userclassesp=NULL)
Definition HDLBody.cpp:75
-
hNodep NormalizeAssignmentChain(hNodep hinp)
Definition HDLBody.cpp:1315
+
hNodep NormalizeAssignmentChain(hNodep hinp)
Definition HDLBody.cpp:1330
bool VisitInitListExpr(InitListExpr *stmt)
Definition HDLBody.cpp:214
clang::DiagnosticsEngine & diag_e
Definition HDLBody.h:86
-
bool ProcessSwitchCase(SwitchCase *cases)
Definition HDLBody.cpp:1146
-
bool VisitDoStmt(DoStmt *whiles)
Definition HDLBody.cpp:1255
+
bool ProcessSwitchCase(SwitchCase *cases)
Definition HDLBody.cpp:1161
+
bool VisitDoStmt(DoStmt *whiles)
Definition HDLBody.cpp:1270
bool isArrayRef(hNodep hp)
Definition HDLBody.h:119
-
bool VisitCXXBoolLiteralExpr(CXXBoolLiteralExpr *b)
Definition HDLBody.cpp:566
-
bool VisitIfStmt(IfStmt *ifs)
Definition HDLBody.cpp:1084
-
bool VisitCallExpr(CallExpr *callexpr)
Definition HDLBody.cpp:1042
+
bool VisitCXXBoolLiteralExpr(CXXBoolLiteralExpr *b)
Definition HDLBody.cpp:581
+
bool VisitIfStmt(IfStmt *ifs)
Definition HDLBody.cpp:1099
+
bool VisitCallExpr(CallExpr *callexpr)
Definition HDLBody.cpp:1057
bool VisitCXXTemporaryObjectExpr(CXXTemporaryObjectExpr *stmt)
Definition HDLBody.cpp:199
bool VisitBreakStmt(BreakStmt *stmt)
Definition HDLBody.cpp:270
-
string FindVname(NamedDecl *vard)
Definition HDLBody.cpp:1276
-
bool VisitCXXMemberCallExpr(CXXMemberCallExpr *callexpr)
Definition HDLBody.cpp:688
-
bool VisitDeclRefExpr(DeclRefExpr *expr)
Definition HDLBody.cpp:574
-
bool VisitForStmt(ForStmt *fors)
Definition HDLBody.cpp:1111
-
bool VisitIntegerLiteral(IntegerLiteral *lit)
Definition HDLBody.cpp:558
+
string FindVname(NamedDecl *vard)
Definition HDLBody.cpp:1291
+
bool VisitCXXMemberCallExpr(CXXMemberCallExpr *callexpr)
Definition HDLBody.cpp:703
+
bool VisitDeclRefExpr(DeclRefExpr *expr)
Definition HDLBody.cpp:589
+
bool VisitForStmt(ForStmt *fors)
Definition HDLBody.cpp:1126
+
bool VisitIntegerLiteral(IntegerLiteral *lit)
Definition HDLBody.cpp:573
bool VisitMaterializeTemporaryExpr(Stmt *st)
@@ -353,7 +361,8 @@
+
diff --git a/HDLHnode_8cpp.html b/HDLHnode_8cpp.html index c8780b2d..3cea78dd 100644 --- a/HDLHnode_8cpp.html +++ b/HDLHnode_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLHnode.cpp File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Namespaces | @@ -91,24 +104,24 @@
- - - - - - - + + + + + + + - + - + - + - + - + @@ -118,17 +131,17 @@ - - + + - - - + + + - + - + @@ -136,31 +149,31 @@ - - - + + + - - - + + + - - + + - + - + - + - + - + @@ -180,54 +193,54 @@ - - - - + + + + - - - - - - - - + + + + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - + - - - + + + - + - + - + - + @@ -239,7 +252,7 @@ - + @@ -262,7 +275,7 @@ - +
@@ -271,7 +284,7 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 

@@ -307,7 +320,8 @@

+ diff --git a/HDLHnode_8cpp__incl.map b/HDLHnode_8cpp__incl.map index 2ab2df1f..f4aff66e 100644 --- a/HDLHnode_8cpp__incl.map +++ b/HDLHnode_8cpp__incl.map @@ -1,22 +1,22 @@ - - - - - - - + + + + + + + - + - + - + - + - + @@ -26,17 +26,17 @@ - - + + - - - + + + - + - + @@ -44,31 +44,31 @@ - - - + + + - - - + + + - - + + - + - + - + - + - + @@ -88,54 +88,54 @@ - - - - + + + + - - - - - - - - + + + + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + - + - - - + + + - + - + - + - + @@ -147,7 +147,7 @@ - + @@ -170,6 +170,6 @@ - + diff --git a/HDLHnode_8cpp__incl.png b/HDLHnode_8cpp__incl.png index b0806750..7a16f4e6 100644 Binary files a/HDLHnode_8cpp__incl.png and b/HDLHnode_8cpp__incl.png differ diff --git a/HDLHnode_8cpp_source.html b/HDLHnode_8cpp_source.html index 90d01148..db0da8ac 100644 --- a/HDLHnode_8cpp_source.html +++ b/HDLHnode_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLHnode.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
HDLHnode.cpp
@@ -108,540 +116,645 @@
28 return true;
29 }
-
- -
31
-
32 hp->child_list.erase( std::remove_if( hp->child_list.begin(), hp->child_list.end(), [] (hNodep x) {
-
33 return (
-
34 //((x->h_op==hNode::hdlopsEnum::hVarAssign) &&
-
35 //(x->child_list.size()==2) &&
-
36 //(x->child_list.back()->h_op != hNode::hdlopsEnum::hLiteral)) ||
-
37 (x->h_op == hNode::hdlopsEnum::hVardecl) || // index variables
-
38 //(x->h_op == hNode::hdlopsEnum::hMethodCall) || // sc_method
-
39 (x->h_op == hNode::hdlopsEnum::hReturnStmt) || // remove return stmt in init block
-
40 (x->h_op == hNode::hdlopsEnum::hUnimpl));}), hp->child_list.end() );
-
41
-
42 for (hNodep hpi :hp->child_list)
-
43 RemoveSCMethod(hpi);
-
44 }
+
30
+
+ +
32 bool ret;
+
33 //hVarAssign NONAME [
+
34 // hVarref mc_proc_handle_u_fifo_local_0 NOLIST
+
35 // hBuiltinFunction create_method_process [
+
36 // hLiteral 0 NOLIST
+
37 // hUnop & [
+
38 // hMethodCall fifo_ccfp_t11_52_5_true_false_false__mc_proc:mc_proc NOLIST
+
39 // ]
+
40 // hLiteral 0 NOLIST
+
41 // ]
+
42 // ]
+
43
+
44 if ((hp->getopc()==hNode::hdlopsEnum::hVarAssign) &&
+
45 (hp->size()>0) &&
+
46 (hp->child_list[0]->getopc() == hNode::hdlopsEnum::hVarref) &&
+
47 (hp->child_list[0]->getname().find("_handle_")!=std::string::npos))
+
48 return true;
+
49
+
50 //hVardeclrn mc_proc_handle_u_fifo_local_0 [
+
51 // hTypeinfo NONAME [
+
52 // hType sc_process_handle NOLIST
+
53 // ]
+
54 // hLiteral mc_proc_handle NOLIST
+
55
+
56 if ((hp->getopc()==hNode::hdlopsEnum::hVardeclrn) &&
+
57 (hp->getname().find("_handle_")!=std::string::npos))
+
58 return true;
+
59 if (hp->getname() == "sc_process_handle") return true;
+
60 if ((hp->getopc()==hNode::hdlopsEnum::hBuiltinFunction) &&
+
61 (hp->getname().find("create_method_process")!=std::string::npos))
+
62 return true;
+
63 return false;
+
64 }
+
+
65
+
+ +
67
+
68 hp->child_list.erase( std::remove_if( hp->child_list.begin(), hp->child_list.end(), [&] (hNodep x) {
+
69 return (
+
70 //((x->h_op==hNode::hdlopsEnum::hVarAssign) &&
+
71 //(x->child_list.size()==2) &&
+
72 //(x->child_list.back()->h_op != hNode::hdlopsEnum::hLiteral)) ||
+
73 (x->h_op == hNode::hdlopsEnum::hVardecl) || // index variables
+
74 //(x->h_op == hNode::hdlopsEnum::hMethodCall) || // sc_method
+
75 (x->h_op == hNode::hdlopsEnum::hReturnStmt) || // remove return stmt in init block
+
76 (x->h_op == hNode::hdlopsEnum::hUnimpl));}), hp->child_list.end() );
+
77
+
78 for (hNodep hpi :hp->child_list)
+
79 RemoveSCMethod(hpi);
+
80 }
-
45
-
- -
47 for (hNodep hpi :hp->child_list)
-
48 CleanupInitHcode(hpi);
-
49 hp->child_list.erase( std::remove_if( hp->child_list.begin(), hp->child_list.end(), [] (hNodep x) {
-
50 return (((x->h_op==hNode::hdlopsEnum::hBinop) &&
-
51 (x->h_name==pbstring) || (x->h_name==sensop)) ||
-
52 ((x->h_op == hNode::hdlopsEnum::hSensvar) && // gratuitous sim method sens vars
-
53 (x->child_list[0]->h_name.find(localstr) != std::string::npos)) ||
-
54 (x->h_op==hNode::hdlopsEnum::hForStmt) ||
-
55 (x->h_op == hNode::hdlopsEnum::hVardeclrn) || // renamed index variables
-
56 ((x->h_op==hNode::hdlopsEnum::hCStmt) &&
-
57 (x->child_list.empty())) ||
-
58 (x->h_op==hNode::hdlopsEnum::hVarAssign) ||
-
59 ((x->h_op == hNode::hdlopsEnum::hVarref) && (x->h_name == "sensitive")) ||
-
60 (isMorF(x->h_op) && (x->h_name.find(strsccore) !=std::string::npos)) ||
-
61 ((x->h_op == hNode::hdlopsEnum::hNoop) &&
-
62 (x->h_name==arrsub)));}), hp->child_list.end());
-
63 // for (hNodep hpi :hp->child_list)
-
64 // CleanupInitHcode(hpi);
-
65
-
66 }
+
81
+
+ +
83 for (hNodep hpi :hp->child_list)
+
84 CleanupInitHcode(hpi);
+
85 hp->child_list.erase( std::remove_if( hp->child_list.begin(), hp->child_list.end(), [] (hNodep x) {
+
86 return (
+
87 ((x->h_op==hNode::hdlopsEnum::hBinop) &&
+
88 (x->h_name==pbstring) || (x->h_name==sensop))
+
89 ||
+
90 //hVarAssign NONAME [
+
91 // hVarref mc_proc_handle_u_fifo_local_0 NOLIST
+
92 // hBuiltinFunction create_method_process [
+
93 // hLiteral 0 NOLIST
+
94 // hUnop & [
+
95 // hMethodCall fifo_ccfp_t11_52_5_true_false_false__mc_proc:mc_proc NOLIST
+
96 // ]
+
97 // hLiteral 0 NOLIST
+
98 // ]
+
99 // ]
+
100 (((x->getopc()==hNode::hdlopsEnum::hVarAssign) || (x->getopc()==hNode::hdlopsEnum::hSensvar)) &&
+
101 (x->size()>0) &&
+
102 (x->child_list[0]->getopc() == hNode::hdlopsEnum::hVarref) &&
+
103 (x->child_list[0]->getname().find("_handle_")!=std::string::npos))
+
104 ||
+
105
+
106 //hVardeclrn mc_proc_handle_u_fifo_local_0 [
+
107 // hTypeinfo NONAME [
+
108 // hType sc_process_handle NOLIST
+
109 // ]
+
110 // hLiteral mc_proc_handle NOLIST
+
111
+
112 ((x->getopc()==hNode::hdlopsEnum::hVardeclrn) &&
+
113 (x->getname().find("_handle_")!=std::string::npos))
+
114 ||
+
115
+
116 //(isSimRelated(x)) ||
+
117 //((x->h_op == hNode::hdlopsEnum::hSensvar) && // gratuitous sim method sens vars
+
118 //(x->child_list[0]->h_name.find(localstr) != std::string::npos)) ||
+
119 //(x->h_op==hNode::hdlopsEnum::hForStmt) ||
+
120 //(x->h_op == hNode::hdlopsEnum::hVardeclrn) || // renamed index variables
+
121 ((x->h_op==hNode::hdlopsEnum::hCStmt) &&
+
122 (x->child_list.empty())) ||
+
123 //(x->h_op==hNode::hdlopsEnum::hVarAssign) ||
+
124 ((x->h_op == hNode::hdlopsEnum::hVarref) && (x->h_name == "sensitive")) ||
+
125 (isMorF(x->h_op) && (x->h_name.find(strsccore) !=std::string::npos)) ||
+
126 ((x->h_op == hNode::hdlopsEnum::hNoop) &&
+
127 (x->h_name==arrsub)));}), hp->child_list.end());
+
128 // for (hNodep hpi :hp->child_list)
+
129 // CleanupInitHcode(hpi);
+
130
+
131 }
-
67
-
97
-
-
98 void HDLConstructorHcode::PushRange(hNodep &hp, std::vector<for_info_t> &for_info) {
-
99
-
100 for_info_t tmp{"FORNAME", 0, 1, 1, 0};
-
101
-
102 hNodep hlo = hp->child_list[0];
-
103 hNodep hi = hp->child_list[1];
-
104 hNodep hstep = hp->child_list[2];
-
105
-
106 if ((hlo->h_op == hNode::hdlopsEnum::hVarAssign) &&
-
107 (hlo->child_list.size() == 2) &&
-
108 (hlo->child_list[0]-> h_op == hNode::hdlopsEnum::hVarref) &&
-
109 (hlo->child_list[1]->h_op == hNode::hdlopsEnum::hLiteral)) {
-
110 tmp.name = hlo->child_list[0]->h_name;
-
111 //FIXME -- put in error message if not a numeric constant
-
112 if (is_numeric(hlo->child_list[1]->h_name))
-
113 tmp.lo = stoi(hlo->child_list[1]->h_name);
-
114 }
-
115 if ((hi->h_op == hNode::hdlopsEnum::hBinop) &&
-
116 (hlo->child_list.size() == 2) &&
-
117 (hlo->child_list[0]-> h_op == hNode::hdlopsEnum::hVarref) &&
-
118 (hlo->child_list[1]->h_op == hNode::hdlopsEnum::hLiteral)) {
-
119 //FIXME -- check that names are same ... tmp.name = hi->child_list[0]->h_name;
-
120 //FIXME -- put in error message if not a numeric constant
-
121 if (is_numeric(hi->child_list[1]->h_name))
-
122 tmp.hi = stoi(hi->child_list[1]->h_name);
-
123 }
-
124 for_info.push_back(tmp);
-
125
-
126 }
+
132
+
162
+
+
163 void HDLConstructorHcode::PushRange(hNodep &hp, std::vector<for_info_t> &for_info) {
+
164
+
165 for_info_t tmp{"FORNAME", 0, 1, 1, 0};
+
166 for_info.push_back(tmp);
+
167 return; // using generate, no need to symbolically traverse for loop to unroll bindings
+
168
+
169 hNodep hlo = hp->child_list[0];
+
170 hNodep hi = hp->child_list[1];
+
171 hNodep hstep = hp->child_list[2];
+
172
+
173 if ((hlo->h_op == hNode::hdlopsEnum::hVarAssign) &&
+
174 (hlo->child_list.size() == 2) &&
+
175 (hlo->child_list[0]-> h_op == hNode::hdlopsEnum::hVarref) &&
+
176 (hlo->child_list[1]->h_op == hNode::hdlopsEnum::hLiteral)) {
+
177 tmp.name = hlo->child_list[0]->h_name;
+
178 //FIXME -- put in error message if not a numeric constant
+
179 if (is_numeric(hlo->child_list[1]->h_name))
+
180 tmp.lo = stoi(hlo->child_list[1]->h_name);
+
181 }
+
182 if ((hi->h_op == hNode::hdlopsEnum::hBinop) &&
+
183 (hlo->child_list.size() == 2) &&
+
184 (hlo->child_list[0]-> h_op == hNode::hdlopsEnum::hVarref) &&
+
185 (hlo->child_list[1]->h_op == hNode::hdlopsEnum::hLiteral)) {
+
186 //FIXME -- check that names are same ... tmp.name = hi->child_list[0]->h_name;
+
187 //FIXME -- put in error message if not a numeric constant
+
188 if (is_numeric(hi->child_list[1]->h_name))
+
189 tmp.hi = stoi(hi->child_list[1]->h_name);
+
190 }
+
191 for_info.push_back(tmp);
+
192
+
193 }
-
127
-
-
128 void HDLConstructorHcode::PopRange(std::vector<for_info_t> &for_info) {
-
129 for_info.pop_back();
-
130 }
+
194
+
+
195 void HDLConstructorHcode::PopRange(std::vector<for_info_t> &for_info) {
+
196 for_info.pop_back();
+
197 }
-
131
-
-
132 void HDLConstructorHcode::SubstituteIndex(hNodep &hp, std::vector<for_info_t> &for_info) {
-
133 for (int i=0; i < for_info.size(); i++) {
-
134 if ((hp->h_op == hNode::hdlopsEnum::hVarref) && (hp->h_name == for_info[i].name)) {
-
135 hp->h_name = to_string(for_info[i].curix);
-
136 hp->h_op = hNode::hdlopsEnum::hLiteral;
-
137 break;
-
138 }
-
139 }
-
140 for (hNodep hpi : hp->child_list)
-
141 SubstituteIndex(hpi, for_info);
-
142 }
+
198
+
+
199 void HDLConstructorHcode::SubstituteIndex(hNodep &hp, std::vector<for_info_t> &for_info) {
+
200 for (int i=0; i < for_info.size(); i++) {
+
201 if ((hp->h_op == hNode::hdlopsEnum::hVarref) && (hp->h_name == for_info[i].name)) {
+
202 hp->h_name = to_string(for_info[i].curix);
+
203 hp->h_op = hNode::hdlopsEnum::hLiteral;
+
204 break;
+
205 }
+
206 }
+
207 for (hNodep hpi : hp->child_list)
+
208 SubstituteIndex(hpi, for_info);
+
209 }
-
143
-
- -
145 hNodep h_ret = new hNode(hp->h_name, hp->h_op);
-
146 for (hNodep hchild : hp->child_list) {
-
147 h_ret->child_list.push_back(HnodeDeepCopy(hchild));
-
148 }
-
149 return h_ret;
-
150 }
+
210
+
+ +
212 hNodep h_ret = new hNode(hp->h_name, hp->h_op);
+
213 for (hNodep hchild : hp->child_list) {
+
214 h_ret->child_list.push_back(HnodeDeepCopy(hchild));
+
215 }
+
216 return h_ret;
+
217 }
-
151
-
- -
153 string tmpstr;
-
154 if (hp == NULL) return "";
-
155 if (hp->getopc() == hNode::hdlopsEnum::hVarref) {
-
156 tmpstr = hp->getname();
-
157 hp->set(tmpstr.substr(tmpstr.find(fielddelim)+fielddelim.size()));
-
158 return tmpstr.substr(0, tmpstr.find(fielddelim));
-
159 }
-
160 for (auto hp1: hp->child_list) {
-
161 tmpstr = ExtractModuleName(hp1);
-
162 if (tmpstr!="") return tmpstr;
-
163 }
-
164 return "";
-
165 }
+
218
+
+ +
220 string tmpstr;
+
221 if (hp == NULL) return "";
+
222 if ((hp->getopc() == hNode::hdlopsEnum::hBinop) && (hp->getname() == "ARRAYSUBSCRIPT")) {
+
223 return ExtractModuleName(hp->child_list[0]);
+
224 }
+
225 else if (hp->getopc() == hNode::hdlopsEnum::hVarref) {
+
226 if (hp->size() == 0) {
+
227 tmpstr = hp->getname();
+
228 int delimix = tmpstr.find(fielddelim);
+
229 if (delimix != string::npos) {
+
230 hp->set(tmpstr.substr(tmpstr.find(fielddelim)+fielddelim.size()));
+
231 return tmpstr.substr(0, tmpstr.find(fielddelim));
+
232 }
+
233 else return tmpstr;
+
234 }
+
235 else { // Varref with child node(s)
+
236 return ExtractModuleName(hp->child_list[0]);
+
237 }
+
238 }
+
239 return "";
+
240
+
241 }
-
166
-
167 // Generate a port binding
-
168 // need to dismantle modname##field:
-
169 // modname is the h_name for the portbinding
-
170 // and field is the varref, e.g.
-
171 // Case 0: hBinop () [
-
172 // hVarref u_fwd_cast##clk NOLIST
-
173 // hVarref clk NOLIST
-
174 // but if the submod instance/port is ARRAYSUBSCRIPT in loop,
-
175 // can have (if submodule is not an array of submods)
-
176 // Case 1: hBinop () [
-
177 // hBinop ARRAYSUBSCRIPT [
-
178 // hVarref u_fwd_cast##m_block NOLIST
-
179 // hVarref _local_0 NOLIST
-
180 // ]
-
181 // hBinop ARRAYSUBSCRIPT [
-
182 // hVarref c_fc_block NOLIST
-
183 // hVarref _local_0 NOLIST
-
184 // ]
-
185 // ]
-
186 // ]
-
187
-
188 // or it will look like this if submod is array ( u_yt[_local_0].clk:clk )
-
189 // Case 2: hBinop () [
-
190 // hVarref clk [
-
191 // hBinop ARRAYSUBSCRIPT [
-
192 // hVarref u_yt NOLIST
-
193 // hVarref _local_0 NOLIST
-
194 // ]
-
195 // ]
-
196 // hVarref clk NOLIST
-
197 // ]
-
198 // or for module instance array ref and port array ref
-
199 //
-
200 // Case 3: hBinop () [
-
201 // hBinop ARRAYSUBSCRIPT [
-
202 // hVarref m_port [
-
203 // hBinop ARRAYSUBSCRIPT [
-
204 // hVarref u_xt NOLIST
-
205 // hVarref _local_0 NOLIST
-
206 // ]
-
207 // ]
-
208 // hVarref _local_1 NOLIST
-
209 // ]
-
210 // hBinop ARRAYSUBSCRIPT [
-
211 // hBinop ARRAYSUBSCRIPT [
-
212 // hVarref c_xt_data NOLIST
-
213 // hVarref _local_0 NOLIST
-
214 // ]
-
215 // hVarref _local_1 NOLIST
-
216 // ]
-
217 // ]
-
-
218 void HDLConstructorHcode::UnrollBinding(hNodep &hp_orig, std::vector<for_info_t> &for_info) {
-
219
-
220 assert ((hp_orig->h_op == hNode::hdlopsEnum::hBinop) && (hp_orig->h_name == pbstring));
-
221
-
222 // Case 0
-
223 if (for_info.empty()) { // simple case, not in a for loop
-
224 string submodport;
-
225 string thismodsig;
-
226 hNodep hpb;
-
227 if (hp_orig->child_list[0]->getopc() == hNode::hdlopsEnum::hVarref) {
-
228 submodport = hp_orig->child_list[0]->h_name;
-
229 // part before delimiter is submodule name, after delimiter is port name
-
230
-
231 hpb = new hNode(submodport.substr(0, submodport.find(fielddelim)),
-
232 hNode::hdlopsEnum::hPortbinding);
-
233 hpb->child_list.push_back(new hNode(submodport.substr(submodport.find(fielddelim)+fielddelim.size()),
-
234 hNode::hdlopsEnum::hVarref));
-
235 }
-
236 else {
-
237 submodport = ExtractModuleName(hp_orig->child_list[0]);
-
238 hpb = new hNode(submodport, hNode::hdlopsEnum::hPortbinding);
-
239 hpb->append(hp_orig->child_list[0]); // need to remove module name
-
240 }
-
241 if (hp_orig->child_list[1]->getopc() == hNode::hdlopsEnum::hVarref) {
-
242 thismodsig = hp_orig->child_list[1]->h_name;
-
243 hpb->child_list.push_back(new hNode(thismodsig, hNode::hdlopsEnum::hVarref));
-
244 }
-
245 else {
-
246 hpb->append(hp_orig->child_list[1]); // need to remove module name
-
247 }
-
248 hnewpb->child_list.push_back(hpb);
-
249 return;
-
250 }
+
242
+
+
243 void HDLConstructorHcode::UnrollBinding(hNodep &hp_orig, std::vector<for_info_t> &for_info) {
+
244
+
245 assert ((hp_orig->h_op == hNode::hdlopsEnum::hBinop) && (hp_orig->h_name == pbstring));
+
246 hp_orig->set(hNode::hdlopsEnum::hPortbinding, ExtractModuleName(hp_orig->child_list[0]));
+
247 hnewpb->append(hp_orig);
+
248 return;
+
249 }
+
+
250
251
-
252 hNodep hp = HnodeDeepCopy(hp_orig); // will be modifying subtrees, so make a copy
-
253
-
254 hNodep hsubmodport = hp->child_list[0]; // submoduleport being bound
-
255 hNodep hthismodsig = hp->child_list[1];
-
256
-
257 string submodport{"XXX"}, thismodsig{"YYY"};
-
258 string submod{"SUBMOD"};
-
259
-
260 // Case 2
-
261 if ((hsubmodport->h_op == hNode::hdlopsEnum::hVarref) && (hsubmodport->child_list.size() > 0)) {
-
262
-
263 // in a for loop, unroll the port bindings
-
264 // hVarref clk [
-
265 // hBinop ARRAYSUBSCRIPT [
-
266 // hVarref u_yt NOLIST
-
267 // hVarref _local_0 NOLIST // changed to hLiteral by Substitute index
-
268 // ]
-
269 // ]
-
270 // hVarref clk NOLIST
-
271 // ]
-
272 hNodep hportchild = hsubmodport->child_list[0];
-
273 hNodep hparent = hsubmodport;
-
274 std::vector<hNodep> hmodarrix;
-
275 // look for submodule name
-
276 while ((hportchild != nullptr) && (hportchild->h_name == arrsub)) {
-
277 hmodarrix.push_back(hportchild->child_list[1]); // save i in A[i]
-
278 if ((hportchild->child_list[0]->h_op == hNode::hdlopsEnum::hVarref) &&
-
279 (hportchild->child_list[0]->child_list.empty())) { // simple varref of A
-
280 submod = hportchild->child_list[0]->h_name;
-
281 break;
-
282 }
-
283 hparent = hportchild;
-
284 hportchild = hportchild->child_list[0];
-
285 }
-
286 for (hNodep hsubmodixname:hmodarrix) {
-
287 // assume simple case of "i" not "i+1" or "i+j"
-
288 assert((hsubmodixname->h_op == hNode::hdlopsEnum::hVarref) && "Submodule index must be simple loop variable name");
-
289 string ixname = hsubmodixname->h_name;
-
290 for (int i = 0; i < for_info.size(); i++) {
-
291 if (for_info[i].name == ixname) {
-
292 submod+=tokendelim+to_string(for_info[i].curix);
-
293 break;
-
294 }
-
295 }
+
+ +
253
+
254 // hMethodCall sc_coresc_simcontextcreate_method_process:create_method_process [
+
255 // hMethodCall sc_coresc_get_curr_simcontext:sc_get_curr_simcontext NOLIST
+
256 // hUnimpl StringLiteral NOLIST
+
257 // hLiteral 0 NOLIST
+
258 // hUnop & [
+
259 // hMethodCall zhwencode_blockfp_t11_52_2mc_proc:mc_proc NOLIST
+
260 // ]
+
261 // hUnimpl CXXThisExpr NOLIST
+
262 // hLiteral 0 NOLIST
+
263 // ]
+
264
+
265 if (isMorF(hp->h_op)) {
+
266 for (hNodep hpi: hp->child_list) {
+
267 if (hpi->h_op == hNode::hdlopsEnum::hUnop) {
+
268 std::size_t found = (hpi->child_list[0]->h_name).find(qualnamedelim);
+
269 if ( found != std::string::npos) { // should be SC_METHOD name
+
270 hnewsens.push_back(new hNode(hpi->child_list[0]->h_name.substr(found+1),
+
271 hNode::hdlopsEnum::hSenslist));
+
272 return true; // got the name
+
273 }
+
274 else { // couldn't find the ":"
+
275 hnewsens.push_back(new hNode(hpi->child_list[0]->h_name, hNode::hdlopsEnum::hSenslist));
+
276 return true;
+
277 }
+
278 }
+
279 }
+
280 }
+
281 return false;
+
282 }
+
+
283
+
+
284 void HDLConstructorHcode::UnrollSensitem(hNodep &hp_orig, std::vector<for_info_t> &for_info) {
+
285
+
286 // hBinop << [
+
287 // hVarref sensitive NOLIST
+
288 // hNoop pos [
+
289 // hVarref clk NOLIST
+
290 // ]
+
291 // ]
+
292
+
293 // check for list of sens items
+
294 if (isInitSensitem(hp_orig->child_list[0])) {
+
295 UnrollSensitem(hp_orig->child_list[0], for_info);
296 }
-
297 if (hsubmodport->child_list[0]->h_name == arrsub) {
-
298 hsubmodport->child_list.erase(hsubmodport->child_list.begin());
-
299 }
-
300 }
-
301 else if (hsubmodport->h_name == arrsub) { // check Case 1, 3
-
302 // Case 1: hBinop () [
-
303 // hBinop ARRAYSUBSCRIPT [
-
304 // hVarref u_fwd_cast##m_block NOLIST
-
305 // hVarref _local_0 NOLIST
-
306 // ]
-
307 // hBinop ARRAYSUBSCRIPT [
-
308 // hVarref c_fc_block NOLIST
-
309 // hVarref _local_0 NOLIST
-
310 // ]
-
311 // ]
-
312 // ]
-
313
-
314 // Case 3: hBinop () [
-
315 // hBinop ARRAYSUBSCRIPT [
-
316 // hVarref m_port [
-
317 // hBinop ARRAYSUBSCRIPT [
-
318 // hVarref u_xt NOLIST
-
319 // hVarref _local_0 NOLIST
-
320 // ]
-
321 // ]
-
322 // hVarref _local_1 NOLIST
-
323 // ]
-
324 // hBinop ARRAYSUBSCRIPT [
-
325 // hBinop ARRAYSUBSCRIPT [
-
326 // hVarref c_xt_data NOLIST
-
327 // hVarref _local_0 NOLIST
-
328 // ]
-
329 // hVarref _local_1 NOLIST
-
330 // ]
-
331 // ]
-
332
-
333 hNodep hportchild = hsubmodport->child_list[0];
-
334 hNodep hparent = hsubmodport;
-
335 std::vector<hNodep> hmodarrix;
-
336
-
337 while ((hportchild != nullptr) &&
-
338 ((hportchild->h_name == arrsub) ||
-
339 ((hportchild->h_op == hNode::hdlopsEnum::hVarref) &&
-
340 (hportchild->child_list.size() > 0)))) {
-
341 if (hportchild->h_name == arrsub) {
-
342 hmodarrix.push_back(hportchild->child_list[1]); // save i in A[i]
-
343 }
-
344 hparent = hportchild;
-
345 hportchild = hportchild->child_list[0];
-
346 }
-
347 if ((hportchild != nullptr) && (hportchild->h_op == hNode::hdlopsEnum::hVarref)) {
-
348 if (hportchild->child_list.empty()) { // Case 1
-
349 submod = hportchild->h_name;
-
350 size_t found = submod.find(fielddelim);
-
351 if ( found != std::string::npos) { // module name prefix, not a vector of modules
-
352 hportchild->h_name = submod.substr(found+fielddelim.size());
-
353 submod = submod.substr(0, found);
-
354 }
-
355 else { // Varref has child; need to handle Case 3 by removing the (arraysubscript submod ix) node
-
356 for (hNodep hsubmodixname:hmodarrix) {
-
357 // assume simple case of "i" not "i+1" or "i+j"
-
358 assert((hsubmodixname->h_op == hNode::hdlopsEnum::hVarref) && "Submodule index must be simple loop variable name");
-
359 string ixname = hsubmodixname->h_name;
-
360 for (int i = 0; i < for_info.size(); i++) {
-
361 if (for_info[i].name == ixname) {
-
362 submod+=tokendelim+to_string(for_info[i].curix);
-
363 break;
-
364 }
-
365 }
-
366 }
-
367 hparent = hsubmodport;
-
368 hportchild = hsubmodport->child_list[0];
-
369 while ((hportchild != nullptr) && (hportchild->h_name != arrsub)) {
-
370 hparent = hportchild;
-
371 hportchild = hportchild->child_list[0];
-
372 }
-
373 if (hportchild != nullptr) { // it's an array subscript
-
374 hparent->child_list.erase(hparent->child_list.begin());
-
375 }
-
376 }
-
377 }
-
378 }
-
379 }
-
380
-
381 hNodep hpb = new hNode( submod, hNode::hdlopsEnum::hPortbinding);
-
382 //hpb->child_list.push_back(new hNode(submodport+tokendelim+to_string(i), hNode::hdlopsEnum::hVarref));
-
383 //hpb->child_list.push_back(new hNode(thismodsig+tokendelim+to_string(i), hNode::hdlopsEnum::hVarref));
-
384
-
385 hpb->child_list.push_back(hsubmodport);
-
386 hpb->child_list.push_back(hthismodsig);
-
387 SubstituteIndex(hpb, for_info);
-
388 hnewpb->child_list.push_back(hpb);
-
389 }
+
297
+
298 // at a primitive sens item
+
299 hNodep hp = HnodeDeepCopy(hp_orig); // need to keep the subtrees when the original tree gets released
+
300
+
301 hp->h_op = hNode::hdlopsEnum::hSensvar;
+
302 hp->h_name = noname;
+
303
+
304 delete hp->child_list[0]; // release that hnode
+
305 hp->child_list.erase(hp->child_list.begin()); // remove the first item
+
306 // if (!for_info.empty()) {
+
307 // SubstituteIndex(hp, for_info);
+
308 //}
+
309
+
310 // check for edge sensitivity
+
311 // eg
+
312 // hSensvar pos [ <-- hp
+
313 // hNoop pos [ <-- hedge
+
314 // hVarref clk NOLIST <-- hedge->child_list[0]
+
315 // ]
+
316 // ]
+
317
+
318 if (isEdge(hp->child_list[0]->h_name)) {
+
319 hNodep hedge = hp->child_list[0];
+
320 hp->child_list[0] = hedge->child_list[0];
+
321 hedge->child_list.pop_back();
+
322 hp->child_list.push_back(hedge);
+
323 }
+
324 else {
+
325
+
326 if (isSimEvent(hp->child_list[0]->h_name)) {
+
327
+
328 // hSensvar NONAME [
+
329 // hNoop value_changed_event [
+
330 // hVarref c_fp##ready NOLIST
+
331 // ]
+
332 // hNoop always NOLIST
+
333 // ]
+
334
+
335 hNodep htmp = hp->child_list[0]->child_list[0];
+
336 hp->child_list.erase(hp->child_list.begin());
+
337 hp->child_list.push_back(htmp);
+
338 }
+
339
+
340 hp->child_list.push_back(new hNode("always", hNode::hdlopsEnum::hNoop));
+
341 };
+
342
+
343 if (!for_info.empty()) {
+
344 if (hnewsens.size() >0) hp->set(hnewsens.back()->getname());
+
345 hp_orig = hp; // this caused the array sens item to be generated in the for loop.
+
346 }
+
347
+
348 else hnewsens.back()->child_list.push_back(hp);
+
349
+
350 }
-
- -
391
-
392 // hMethodCall sc_coresc_simcontextcreate_method_process:create_method_process [
-
393 // hMethodCall sc_coresc_get_curr_simcontext:sc_get_curr_simcontext NOLIST
-
394 // hUnimpl StringLiteral NOLIST
-
395 // hLiteral 0 NOLIST
-
396 // hUnop & [
-
397 // hMethodCall zhwencode_blockfp_t11_52_2mc_proc:mc_proc NOLIST
-
398 // ]
-
399 // hUnimpl CXXThisExpr NOLIST
-
400 // hLiteral 0 NOLIST
-
401 // ]
-
402
-
403 if (isMorF(hp->h_op)) {
-
404 for (hNodep hpi: hp->child_list) {
-
405 if (hpi->h_op == hNode::hdlopsEnum::hUnop) {
-
406 std::size_t found = (hpi->child_list[0]->h_name).find(qualnamedelim);
-
407 if ( found != std::string::npos) { // should be SC_METHOD name
-
408 hnewsens.push_back(new hNode(hpi->child_list[0]->h_name.substr(found+1),
-
409 hNode::hdlopsEnum::hSenslist));
-
410 return true; // got the name
-
411 }
-
412 else { // couldn't find the ":"
-
413 hnewsens.push_back(new hNode(hpi->child_list[0]->h_name, hNode::hdlopsEnum::hSenslist));
-
414 return true;
-
415 }
-
416 }
-
417 }
-
418 }
-
419 return false;
-
420 }
+
351
+
+
352 void HDLConstructorHcode::HDLLoop(hNodep &hp, std::vector<for_info_t> &for_info ) {
+
353 // check in order of expected frequency
+
354 if (isInitPB(hp)) {
+
355 UnrollBinding(hp, for_info);
+
356 }
+
357 else if (isInitSensitem(hp)) {
+
358 UnrollSensitem(hp, for_info);
+
359 }
+
360
+
361 else if ((hp->h_op == hNode::hdlopsEnum::hForStmt) && (hp->child_list.size() > 3)) {
+
362 PushRange(hp, for_info); // fill in name, lo, hi, step
+
363 for (int forloopix = for_info.back().lo; forloopix < for_info.back().hi; forloopix+=for_info.back().step) {
+
364 for_info.back().curix = forloopix;
+
365 for (int i=3; i<hp->child_list.size(); i++) {
+
366 if (isInitPB(hp->child_list[i])) {// hcode indicating port binding
+
367 UnrollBinding(hp->child_list[i], for_info); // unroll all bindings in this range
+
368 }
+
369 else if (isInitSensitem(hp->child_list[i])) { // hcode indicating sensitivity item
+
370 UnrollSensitem(hp->child_list[i], for_info); // unroll all sensitems in this range
+
371 }
+
372 else if ((hp->child_list[i]->h_op == hNode::hdlopsEnum::hForStmt) ||
+
373 (hp->child_list[i]->h_op == hNode::hdlopsEnum::hCStmt))
+
374 HDLLoop(hp->child_list[i], for_info);
+
375 }
+
376 }
+
377 for_info.pop_back();
+
378 }
+
379 else if (hp->h_op == hNode::hdlopsEnum::hCStmt) {
+
380 for (hNodep hpc:hp->child_list) {
+
381 HDLLoop(hpc, for_info);
+
382 }
+
383 }
+
384 else if (isMethodCall(hp)) { // hVarAssign child[1] is a method call;
+
385 if (!SetupSenslist(hp->child_list[1])) { // points to first hMethodCall, push SC_METHOD name onto hnewsens
+
386 // oops couldn't parse it
+
387 hnewsens.push_back(new hNode( "METHOD ???", hNode::hdlopsEnum::hSenslist));
+
388 }
+
389 }
+
390 else {
+
391 // check for thread sensitivity declarations
+
392 int threadsensitem = isThreadSensitem(hp);
+
393 if (threadsensitem >0 ) {
+
394 // e.g. hMethodCall sc_core__sc_module__async_reset_signal_is:async_reset_signal_is [
+
395 // hVarref reset NOLIST
+
396 // hLiteral 0 NOLIST
+
397 // ]
+
398 LLVM_DEBUG(llvm::dbgs() << "HDLHNode: found thread sens item " << "\n");
+
399 hNodep hpsens = HnodeDeepCopy(hp); // need to keep the subtrees when the original tree gets released
+
400
+
401 hpsens->set(hNode::hdlopsEnum::hSensvar, threadsensitem == reset_async? "ASYNC": "SYNC");
+
402 if (hnewsens.size()==0) // this shouldn't be the case, but whatever
+
403 hnewsens.push_back(new hNode( "METHOD ???", hNode::hdlopsEnum::hSenslist));
+
404 hnewsens.back()->append(hpsens);
+
405 }
+
406 }
+
407 }
-
421
-
-
422 void HDLConstructorHcode::UnrollSensitem(hNodep &hp_orig, std::vector<for_info_t> &for_info) {
-
423 // hBinop << [
-
424 // hVarref sensitive NOLIST
-
425 // hNoop pos [
-
426 // hVarref clk NOLIST
-
427 // ]
-
428 // ]
-
429
-
430 // check for list of sens items
-
431 if (isInitSensitem(hp_orig->child_list[0])) {
-
432 UnrollSensitem(hp_orig->child_list[0], for_info);
-
433 }
-
434
-
435 // at a primitive sens item
-
436 hNodep hp = HnodeDeepCopy(hp_orig); // need to keep the subtrees when the original tree gets released
-
437
-
438 hp->h_op = hNode::hdlopsEnum::hSensvar;
-
439 hp->h_name = noname;
-
440
-
441 delete hp->child_list[0]; // release that hnode
-
442 hp->child_list.erase(hp->child_list.begin()); // remove the first item
-
443 if (!for_info.empty()) {
-
444 SubstituteIndex(hp, for_info);
-
445 }
-
446
-
447 // check for edge sensitivity
-
448 // eg
-
449 // hSensvar pos [ <-- hp
-
450 // hNoop pos [ <-- hedge
-
451 // hVarref clk NOLIST <-- hedge->child_list[0]
-
452 // ]
-
453 // ]
-
454
-
455 if (isEdge(hp->child_list[0]->h_name)) {
-
456 hNodep hedge = hp->child_list[0];
-
457 hp->child_list[0] = hedge->child_list[0];
-
458 hedge->child_list.pop_back();
-
459 hp->child_list.push_back(hedge);
-
460 }
-
461 else {
-
462
-
463 if (isSimEvent(hp->child_list[0]->h_name)) {
-
464
-
465 // hSensvar NONAME [
-
466 // hNoop value_changed_event [
-
467 // hVarref c_fp##ready NOLIST
-
468 // ]
-
469 // hNoop always NOLIST
-
470 // ]
-
471
-
472 hNodep htmp = hp->child_list[0]->child_list[0];
-
473 hp->child_list.erase(hp->child_list.begin());
-
474 hp->child_list.push_back(htmp);
-
475 }
-
476
-
477 hp->child_list.push_back(new hNode("always", hNode::hdlopsEnum::hNoop));
-
478 };
-
479
-
480 hnewsens.back()->child_list.push_back(hp);
-
481 }
+
408
+
409
+
+ +
411
+
412 std::vector<for_info_t> for_info;
+
413
+
414 if (xconstructor==nullptr) return xconstructor;
+
415
+
416 // this is a workaround to make lldb find dumphcode
+
417 // since lldb doesn't pick up default parameters in print
+
418 // and doesn't recognize llvm::outs()
+
419 { int junk =2; if (junk!=2) xconstructor->dumphcode();}
+
420
+
421 RemoveSCMethod(xconstructor);
+
422 hnewpb = new hNode(xconstructor->h_name, hNode::hdlopsEnum::hPortbindings);
+
423 // FIXME name should be the SC_METHOD name
+
424 //hnewsens = new hNode(xconstructor->h_name, hNode::hdlopsEnum::hSenslist);
+
425 for (hNodep hp : xconstructor->child_list)
+
426 HDLLoop(hp, for_info);
+
427 // if (!hnewpb->child_list.empty()) {
+
428 // xconstructor->child_list.push_back(hnewpb);
+
429 // }
+
430 if (!hnewsens.empty()) {
+
431 if ( (xconstructor->size() == 1) && (xconstructor->child_list[0]->getopc()==hNode::hdlopsEnum::hCStmt)) {
+
432 hNodep hcstmtp = xconstructor->child_list[0];
+
433 hcstmtp->child_list.insert(hcstmtp->child_list.end(), hnewsens.begin(), hnewsens.end());
+
434 }
+
435 else xconstructor->child_list.insert( xconstructor->child_list.end(), hnewsens.begin(), hnewsens.end());
+
436 }
+
437 CleanupInitHcode(xconstructor);
+
438 return xconstructor;
+
439 }
-
482
-
-
483 void HDLConstructorHcode::HDLLoop(hNodep &hp, std::vector<for_info_t> &for_info ) {
-
484 // check in order of expected frequency
-
485 if (isInitPB(hp)) {
-
486 UnrollBinding(hp, for_info);
-
487 }
-
488 else if (isInitSensitem(hp)) {
-
489 UnrollSensitem(hp, for_info);
-
490 }
-
491
-
492 else if ((hp->h_op == hNode::hdlopsEnum::hForStmt) && (hp->child_list.size() > 3)) {
-
493 PushRange(hp, for_info); // fill in name, lo, hi, step
-
494 for (int forloopix = for_info.back().lo; forloopix < for_info.back().hi; forloopix+=for_info.back().step) {
-
495 for_info.back().curix = forloopix;
-
496 for (int i=3; i<hp->child_list.size(); i++) {
-
497 if (isInitPB(hp->child_list[i])) {// hcode indicating port binding
-
498 UnrollBinding(hp->child_list[i], for_info); // unroll all bindings in this range
-
499 }
-
500 else if (isInitSensitem(hp->child_list[i])) { // hcode indicating sensitivity item
-
501 UnrollSensitem(hp->child_list[i], for_info); // unroll all sensitems in this range
-
502 }
-
503 else if ((hp->child_list[i]->h_op == hNode::hdlopsEnum::hForStmt) ||
-
504 (hp->child_list[i]->h_op == hNode::hdlopsEnum::hCStmt))
-
505 HDLLoop(hp->child_list[i], for_info);
-
506 }
-
507 }
-
508 for_info.pop_back();
-
509 }
-
510 else if (hp->h_op == hNode::hdlopsEnum::hCStmt) {
-
511 for (hNodep hpc:hp->child_list) {
-
512 HDLLoop(hpc, for_info);
-
513 }
-
514 }
-
515 else if (isMethodCall(hp)) { // hVarAssign child[1] is a method call;
-
516 if (!SetupSenslist(hp->child_list[1])) { // points to first hMethodCall, push SC_METHOD name onto hnewsens
-
517 // oops couldn't parse it
-
518 hnewsens.push_back(new hNode( "METHOD ???", hNode::hdlopsEnum::hSenslist));
+
440
+
441 // legacy code to manyally unroll for loop bindings
+
442 #if 0
+
443 // Generate a port binding
+
444 // need to dismantle modname##field:
+
445 // modname is the h_name for the portbinding
+
446 // and field is the varref, e.g.
+
447 // Case 0: hBinop () [
+
448 // hVarref u_fwd_cast##clk NOLIST
+
449 // hVarref clk NOLIST
+
450 // but if the submod instance/port is ARRAYSUBSCRIPT in loop,
+
451 // can have (if submodule is not an array of submods)
+
452 // Case 1: hBinop () [
+
453 // hBinop ARRAYSUBSCRIPT [
+
454 // hVarref u_fwd_cast##m_block NOLIST
+
455 // hVarref _local_0 NOLIST
+
456 // ]
+
457 // hBinop ARRAYSUBSCRIPT [
+
458 // hVarref c_fc_block NOLIST
+
459 // hVarref _local_0 NOLIST
+
460 // ]
+
461 // ]
+
462 // ]
+
463
+
464 // or it will look like this if submod is array ( u_yt[_local_0].clk:clk )
+
465 // Case 2: hBinop () [
+
466 // hVarref clk [
+
467 // hBinop ARRAYSUBSCRIPT [
+
468 // hVarref u_yt NOLIST
+
469 // hVarref _local_0 NOLIST
+
470 // ]
+
471 // ]
+
472 // hVarref clk NOLIST
+
473 // ]
+
474 // or for module instance array ref and port array ref
+
475 //
+
476 // Case 3: hBinop () [
+
477 // hBinop ARRAYSUBSCRIPT [
+
478 // hVarref m_port [
+
479 // hBinop ARRAYSUBSCRIPT [
+
480 // hVarref u_xt NOLIST
+
481 // hVarref _local_0 NOLIST
+
482 // ]
+
483 // ]
+
484 // hVarref _local_1 NOLIST
+
485 // ]
+
486 // hBinop ARRAYSUBSCRIPT [
+
487 // hBinop ARRAYSUBSCRIPT [
+
488 // hVarref c_xt_data NOLIST
+
489 // hVarref _local_0 NOLIST
+
490 // ]
+
491 // hVarref _local_1 NOLIST
+
492 // ]
+
493 // ]
+
494 void HDLConstructorHcode::UnrollBindingNotUsed(hNodep &hp_orig, std::vector<for_info_t> &for_info) {
+
495
+
496 assert ((hp_orig->h_op == hNode::hdlopsEnum::hBinop) && (hp_orig->h_name == pbstring));
+
497 hp_orig->set(hNode::hdlopsEnum::hPortbinding, ExtractModuleName(hp_orig->child_list[0]));
+
498 hnewpb->append(hp_orig);
+
499 return;
+
500
+
501 // Case 0
+
502 if (for_info.empty()) { // simple case, not in a for loop
+
503 string submodport;
+
504 string thismodsig;
+
505 hNodep hpb;
+
506 if (hp_orig->child_list[0]->getopc() == hNode::hdlopsEnum::hVarref) {
+
507 submodport = hp_orig->child_list[0]->h_name;
+
508 // part before delimiter is submodule name, after delimiter is port name
+
509
+
510 hpb = new hNode(submodport.substr(0, submodport.find(fielddelim)),
+
511 hNode::hdlopsEnum::hPortbinding);
+
512 hpb->child_list.push_back(new hNode(submodport.substr(submodport.find(fielddelim)+fielddelim.size()),
+
513 hNode::hdlopsEnum::hVarref));
+
514 }
+
515 else {
+
516 submodport = ExtractModuleName(hp_orig->child_list[0]);
+
517 hpb = new hNode(submodport, hNode::hdlopsEnum::hPortbinding);
+
518 hpb->append(hp_orig->child_list[0]); // need to remove module name
519 }
-
520 }
-
521 else {
-
522 // check for thread sensitivity declarations
-
523 int threadsensitem = isThreadSensitem(hp);
-
524 if (threadsensitem >0 ) {
-
525 // e.g. hMethodCall sc_core__sc_module__async_reset_signal_is:async_reset_signal_is [
-
526 // hVarref reset NOLIST
-
527 // hLiteral 0 NOLIST
-
528 // ]
-
529 LLVM_DEBUG(llvm::dbgs() << "HDLHNode: found thread sens item " << "\n");
-
530 hNodep hpsens = HnodeDeepCopy(hp); // need to keep the subtrees when the original tree gets released
-
531
-
532 hpsens->set(hNode::hdlopsEnum::hSensvar, threadsensitem == reset_async? "ASYNC": "SYNC");
-
533 if (hnewsens.size()==0) // this shouldn't be the case, but whatever
-
534 hnewsens.push_back(new hNode( "METHOD ???", hNode::hdlopsEnum::hSenslist));
-
535 hnewsens.back()->append(hpsens);
-
536 }
-
537 }
-
538 }
-
-
539
-
540
-
- -
542
-
543 std::vector<for_info_t> for_info;
-
544
-
545 if (xconstructor==nullptr) return xconstructor;
-
546
-
547 // this is a workaround to make lldb find dumphcode
-
548 // since lldb doesn't pick up default parameters in print
-
549 // and doesn't recognize llvm::outs()
-
550 { int junk =2; if (junk!=2) xconstructor->dumphcode();}
-
551
-
552 RemoveSCMethod(xconstructor);
-
553 hnewpb = new hNode(xconstructor->h_name, hNode::hdlopsEnum::hPortbindings);
-
554 // FIXME name should be the SC_METHOD name
-
555 //hnewsens = new hNode(xconstructor->h_name, hNode::hdlopsEnum::hSenslist);
-
556 for (hNodep hp : xconstructor->child_list)
-
557 HDLLoop(hp, for_info);
-
558 if (!hnewpb->child_list.empty()) {
-
559 xconstructor->child_list.push_back(hnewpb);
-
560 }
-
561 if (!hnewsens.empty()) {
-
562 xconstructor->child_list.insert( xconstructor->child_list.end(), hnewsens.begin(), hnewsens.end());
-
563 }
-
564 CleanupInitHcode(xconstructor);
-
565 return xconstructor;
-
566 }
-
-
567
-
568}
+
520 if (hp_orig->child_list[1]->getopc() == hNode::hdlopsEnum::hVarref) {
+
521 thismodsig = hp_orig->child_list[1]->h_name;
+
522 hpb->child_list.push_back(new hNode(thismodsig, hNode::hdlopsEnum::hVarref));
+
523 }
+
524 else {
+
525 hpb->append(hp_orig->child_list[1]); // need to remove module name
+
526 }
+
527 hnewpb->child_list.push_back(hpb);
+
528 return;
+
529 }
+
530
+
531 hNodep hp = HnodeDeepCopy(hp_orig); // will be modifying subtrees, so make a copy
+
532
+
533 hNodep hsubmodport = hp->child_list[0]; // submoduleport being bound
+
534 hNodep hthismodsig = hp->child_list[1];
+
535
+
536 string submodport{"XXX"}, thismodsig{"YYY"};
+
537 string submod{"SUBMOD"};
+
538
+
539 // Case 2
+
540 if ((hsubmodport->h_op == hNode::hdlopsEnum::hVarref) && (hsubmodport->child_list.size() > 0)) {
+
541
+
542 // in a for loop, unroll the port bindings
+
543 // hVarref clk [
+
544 // hBinop ARRAYSUBSCRIPT [
+
545 // hVarref u_yt NOLIST
+
546 // hVarref _local_0 NOLIST // changed to hLiteral by Substitute index
+
547 // ]
+
548 // ]
+
549 // hVarref clk NOLIST
+
550 // ]
+
551 hNodep hportchild = hsubmodport->child_list[0];
+
552 hNodep hparent = hsubmodport;
+
553 std::vector<hNodep> hmodarrix;
+
554 // look for submodule name
+
555 while ((hportchild != nullptr) && (hportchild->h_name == arrsub)) {
+
556 hmodarrix.push_back(hportchild->child_list[1]); // save i in A[i]
+
557 if ((hportchild->child_list[0]->h_op == hNode::hdlopsEnum::hVarref) &&
+
558 (hportchild->child_list[0]->child_list.empty())) { // simple varref of A
+
559 submod = hportchild->child_list[0]->h_name;
+
560 break;
+
561 }
+
562 hparent = hportchild;
+
563 hportchild = hportchild->child_list[0];
+
564 }
+
565 for (hNodep hsubmodixname:hmodarrix) {
+
566 // assume simple case of "i" not "i+1" or "i+j"
+
567 assert((hsubmodixname->h_op == hNode::hdlopsEnum::hVarref) && "Submodule index must be simple loop variable name");
+
568 string ixname = hsubmodixname->h_name;
+
569 for (int i = 0; i < for_info.size(); i++) {
+
570 if (for_info[i].name == ixname) {
+
571 submod+=tokendelim+to_string(for_info[i].curix);
+
572 break;
+
573 }
+
574 }
+
575 }
+
576 if (hsubmodport->child_list[0]->h_name == arrsub) {
+
577 hsubmodport->child_list.erase(hsubmodport->child_list.begin());
+
578 }
+
579 }
+
580 else if (hsubmodport->h_name == arrsub) { // check Case 1, 3
+
581 // Case 1: hBinop () [
+
582 // hBinop ARRAYSUBSCRIPT [
+
583 // hVarref u_fwd_cast##m_block NOLIST
+
584 // hVarref _local_0 NOLIST
+
585 // ]
+
586 // hBinop ARRAYSUBSCRIPT [
+
587 // hVarref c_fc_block NOLIST
+
588 // hVarref _local_0 NOLIST
+
589 // ]
+
590 // ]
+
591 // ]
+
592
+
593 // Case 3: hBinop () [
+
594 // hBinop ARRAYSUBSCRIPT [
+
595 // hVarref m_port [
+
596 // hBinop ARRAYSUBSCRIPT [
+
597 // hVarref u_xt NOLIST
+
598 // hVarref _local_0 NOLIST
+
599 // ]
+
600 // ]
+
601 // hVarref _local_1 NOLIST
+
602 // ]
+
603 // hBinop ARRAYSUBSCRIPT [
+
604 // hBinop ARRAYSUBSCRIPT [
+
605 // hVarref c_xt_data NOLIST
+
606 // hVarref _local_0 NOLIST
+
607 // ]
+
608 // hVarref _local_1 NOLIST
+
609 // ]
+
610 // ]
+
611
+
612 hNodep hportchild = hsubmodport->child_list[0];
+
613 hNodep hparent = hsubmodport;
+
614 std::vector<hNodep> hmodarrix;
+
615
+
616 while ((hportchild != nullptr) &&
+
617 ((hportchild->h_name == arrsub) ||
+
618 ((hportchild->h_op == hNode::hdlopsEnum::hVarref) &&
+
619 (hportchild->child_list.size() > 0)))) {
+
620 if (hportchild->h_name == arrsub) {
+
621 hmodarrix.push_back(hportchild->child_list[1]); // save i in A[i]
+
622 }
+
623 hparent = hportchild;
+
624 hportchild = hportchild->child_list[0];
+
625 }
+
626 if ((hportchild != nullptr) && (hportchild->h_op == hNode::hdlopsEnum::hVarref)) {
+
627 if (hportchild->child_list.empty()) { // Case 1
+
628 submod = hportchild->h_name;
+
629 size_t found = submod.find(fielddelim);
+
630 if ( found != std::string::npos) { // module name prefix, not a vector of modules
+
631 hportchild->h_name = submod.substr(found+fielddelim.size());
+
632 submod = submod.substr(0, found);
+
633 }
+
634 else { // Varref has child; need to handle Case 3 by removing the (arraysubscript submod ix) node
+
635 for (hNodep hsubmodixname:hmodarrix) {
+
636 // assume simple case of "i" not "i+1" or "i+j"
+
637 assert((hsubmodixname->h_op == hNode::hdlopsEnum::hVarref) && "Submodule index must be simple loop variable name");
+
638 string ixname = hsubmodixname->h_name;
+
639 for (int i = 0; i < for_info.size(); i++) {
+
640 if (for_info[i].name == ixname) {
+
641 submod+=tokendelim+to_string(for_info[i].curix);
+
642 break;
+
643 }
+
644 }
+
645 }
+
646 hparent = hsubmodport;
+
647 hportchild = hsubmodport->child_list[0];
+
648 while ((hportchild != nullptr) && (hportchild->h_name != arrsub)) {
+
649 hparent = hportchild;
+
650 hportchild = hportchild->child_list[0];
+
651 }
+
652 if (hportchild != nullptr) { // it's an array subscript
+
653 hparent->child_list.erase(hparent->child_list.begin());
+
654 }
+
655 }
+
656 }
+
657 }
+
658 }
+
659
+
660 hNodep hpb = new hNode( submod, hNode::hdlopsEnum::hPortbinding);
+
661 //hpb->child_list.push_back(new hNode(submodport+tokendelim+to_string(i), hNode::hdlopsEnum::hVarref));
+
662 //hpb->child_list.push_back(new hNode(thismodsig+tokendelim+to_string(i), hNode::hdlopsEnum::hVarref));
+
663
+
664 hpb->child_list.push_back(hsubmodport);
+
665 hpb->child_list.push_back(hthismodsig);
+
666 SubstituteIndex(hpb, for_info);
+
667 hnewpb->child_list.push_back(hpb);
+
668 }
+
669
+
670#endif
+
671}
void dumphcode()
Definition hNode.h:211
@@ -652,20 +765,22 @@
void set(hdlopsEnum h, string s="")
Definition hNode.h:148
std::vector< hNodep > child_list
Definition hNode.h:109
void append(hNodep hnew)
Definition hNode.h:157
-
void UnrollSensitem(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:422
-
void UnrollBinding(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:218
- -
void SubstituteIndex(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:132
-
hNodep ProcessCXXConstructorHcode(hNodep xconstructor)
Definition HDLHnode.cpp:541
-
void PushRange(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:98
- -
void CleanupInitHcode(hNodep &hp)
Definition HDLHnode.cpp:46
-
string ExtractModuleName(hNodep hp)
Definition HDLHnode.cpp:152
-
void PopRange(std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:128
-
void RemoveSCMethod(hNodep &hp)
Definition HDLHnode.cpp:30
-
hNodep HnodeDeepCopy(hNodep hp)
Definition HDLHnode.cpp:144
-
void HDLLoop(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:483
-
std::vector< hNodep > hnewsens
Definition HDLHnode.h:103
+
int size()
Definition hNode.h:161
+
void UnrollSensitem(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:284
+
void UnrollBinding(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:243
+ +
void SubstituteIndex(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:199
+
hNodep ProcessCXXConstructorHcode(hNodep xconstructor)
Definition HDLHnode.cpp:410
+
void PushRange(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:163
+ +
void CleanupInitHcode(hNodep &hp)
Definition HDLHnode.cpp:82
+ +
string ExtractModuleName(hNodep hp)
Definition HDLHnode.cpp:219
+
void PopRange(std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:195
+
void RemoveSCMethod(hNodep &hp)
Definition HDLHnode.cpp:66
+
hNodep HnodeDeepCopy(hNodep hp)
Definition HDLHnode.cpp:211
+
void HDLLoop(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:352
+
std::vector< hNodep > hnewsens
Definition HDLHnode.h:104
std::string to_string(T *pointer)
Definition ProcessDecl.h:18
bool is_numeric(string &s)
Definition HDLHnode.cpp:24
@@ -673,7 +788,8 @@
+
diff --git a/HDLHnode_8h.html b/HDLHnode_8h.html index e796ab78..766dc175 100644 --- a/HDLHnode_8h.html +++ b/HDLHnode_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLHnode.h File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -89,189 +102,189 @@
- - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
@@ -298,13 +311,14 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 
+
diff --git a/HDLHnode_8h__incl.map b/HDLHnode_8h__incl.map index 5e46e561..06059f4b 100644 --- a/HDLHnode_8h__incl.map +++ b/HDLHnode_8h__incl.map @@ -1,185 +1,185 @@ - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/HDLHnode_8h__incl.png b/HDLHnode_8h__incl.png index abd7621e..114ccd39 100644 Binary files a/HDLHnode_8h__incl.png and b/HDLHnode_8h__incl.png differ diff --git a/HDLHnode_8h_source.html b/HDLHnode_8h_source.html index bd4f398c..ba46cb76 100644 --- a/HDLHnode_8h_source.html +++ b/HDLHnode_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLHnode.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
HDLHnode.h
@@ -187,15 +195,16 @@
97 void RemoveSCMethod(hNodep &hp);
98 void CleanupInitHcode(hNodep &hp);
-
99
-
100 string ExtractModuleName(hNodep hp);
-
101 private:
-
102 hNodep hnewpb; // port binding list
-
103 std::vector<hNodep> hnewsens; // sensitivity lists
-
104 };
+
99 bool isSimRelated(hNodep hp);
+
100
+
101 string ExtractModuleName(hNodep hp);
+
102 private:
+
103 hNodep hnewpb; // port binding list
+
104 std::vector<hNodep> hnewsens; // sensitivity lists
+
105 };
-
105}
-
106#endif
+
106}
+
107#endif
hdlopsEnum getopc()
Definition hNode.h:173
@@ -204,22 +213,23 @@
std::vector< hNodep > child_list
Definition hNode.h:109
-
void UnrollSensitem(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:422
-
void UnrollBinding(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:218
- -
void SubstituteIndex(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:132
-
hNodep ProcessCXXConstructorHcode(hNodep xconstructor)
Definition HDLHnode.cpp:541
-
void PushRange(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:98
- -
void CleanupInitHcode(hNodep &hp)
Definition HDLHnode.cpp:46
+
void UnrollSensitem(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:284
+
void UnrollBinding(hNodep &hp_orig, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:243
+ +
void SubstituteIndex(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:199
+
hNodep ProcessCXXConstructorHcode(hNodep xconstructor)
Definition HDLHnode.cpp:410
+
void PushRange(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:163
+ +
void CleanupInitHcode(hNodep &hp)
Definition HDLHnode.cpp:82
-
string ExtractModuleName(hNodep hp)
Definition HDLHnode.cpp:152
+ +
string ExtractModuleName(hNodep hp)
Definition HDLHnode.cpp:219
void GetSensLists(std::vector< hNodep > &hsens)
Definition HDLHnode.h:84
-
void PopRange(std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:128
-
void RemoveSCMethod(hNodep &hp)
Definition HDLHnode.cpp:30
-
hNodep HnodeDeepCopy(hNodep hp)
Definition HDLHnode.cpp:144
-
void HDLLoop(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:483
-
std::vector< hNodep > hnewsens
Definition HDLHnode.h:103
+
void PopRange(std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:195
+
void RemoveSCMethod(hNodep &hp)
Definition HDLHnode.cpp:66
+
hNodep HnodeDeepCopy(hNodep hp)
Definition HDLHnode.cpp:211
+
void HDLLoop(hNodep &hp, std::vector< for_info_t > &for_info)
Definition HDLHnode.cpp:352
+
std::vector< hNodep > hnewsens
Definition HDLHnode.h:104
Clang forward declarations.
Definition FindArgument.h:6
Definition hNode.h:24
@@ -232,7 +242,8 @@
+
diff --git a/HDLMain_8cpp.html b/HDLMain_8cpp.html index bd85aeec..7c3e6ce2 100644 --- a/HDLMain_8cpp.html +++ b/HDLMain_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLMain.cpp File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Namespaces | @@ -104,169 +117,169 @@
- + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
@@ -274,7 +287,7 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 

@@ -310,7 +323,8 @@

+ diff --git a/HDLMain_8cpp__incl.map b/HDLMain_8cpp__incl.map index 954a0b39..246f11cb 100644 --- a/HDLMain_8cpp__incl.map +++ b/HDLMain_8cpp__incl.map @@ -1,165 +1,165 @@ - + - - - - - - - - - - - - - + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/HDLMain_8cpp__incl.png b/HDLMain_8cpp__incl.png index 8ece83c2..bef9888e 100644 Binary files a/HDLMain_8cpp__incl.png and b/HDLMain_8cpp__incl.png differ diff --git a/HDLMain_8cpp_source.html b/HDLMain_8cpp_source.html index 2d15eea1..a8de463b 100644 --- a/HDLMain_8cpp_source.html +++ b/HDLMain_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLMain.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
HDLMain.cpp
@@ -136,863 +144,890 @@
57
58 LLVM_DEBUG(llvm::dbgs() << "HDL-FILE-OUTPUT: " << hdl_file_out_ << "\n"; );
59
-
60 FileID fileID = getSourceManager().getMainFileID();
-
61 const FileEntry *fileentry = getSourceManager().getFileEntryForID(fileID);
-
62 if (hdl_file_out_ == "") {
-
63 if (!fileentry) {
-
64 outputfn = "HCodeout";
-
65 LLVM_DEBUG(llvm::dbgs()
-
66 << "Null file entry for tranlation unit for this astcontext\n");
-
67 } else {
-
68 outputfn = fileentry->getName().str();
-
69 regex r("\\.cpp");
-
70 outputfn = regex_replace(outputfn, r, "_hdl");
-
71
-
72 LLVM_DEBUG(llvm::dbgs() << "File name is " << outputfn << "\n");
-
73 }
-
74 } else {
-
75 outputfn = hdl_file_out_;
-
76 }
+
60 SourceManager &sm = getSourceManager();
+
61 FileID fileID = sm.getMainFileID();
+
62 const FileEntry *fileentry = sm.getFileEntryForID(fileID);
+
63 auto opt_fref = sm.getFileEntryRefForID(fileID) ;
+
64 const FileEntryRef &fref = *opt_fref;
+
65
+
66
+
67 //FileID fileID = getSourceManager().getMainFileID();
+
68 //const FileEntry *fileentry = getSourceManager().getFileEntryForID(fileID);
+
69 if (hdl_file_out_ == "") {
+
70 if (!fileentry) {
+
71 outputfn = "HCodeout";
+
72 LLVM_DEBUG(llvm::dbgs()
+
73 << "Null file entry for tranlation unit for this astcontext\n");
+
74 } else {
+
75
+
76 outputfn = fref.getName().str();
77
-
78 llvm::raw_fd_ostream HCodeOut(outputfn + ".txt", ec,
-
79 llvm::sys::fs::CD_CreateAlways);
-
80 LLVM_DEBUG(llvm::dbgs() << "file " << outputfn
-
81 << ".txt, create error code is " << ec.value()
-
82 << "\n");
-
83
-
84 LLVM_DEBUG(llvm::dbgs() << "\n SC HDL plugin\n");
-
85
-
86 // typedef std::vector< modulePairType > moduleMapType;
-
87 // typedef std::pair<std::string, ModuleInstance *> modulePairType;
-
88
-
89
-
90 ModuleInstance *modinstance{model->getRootModuleInstance()};
-
91 if (modinstance == nullptr) {
-
92 LLVM_DEBUG(llvm::dbgs() << "\nRoot instance not found, exiting\n");
-
93 return false;
-
94 }
-
95
-
96 // generate module instance for top module and its submodules
-
97
-
98 //string modname = modinstance->getName()+mod_newn.newname(); // include original name for readability
+
78 //outputfn = fileentry->getName().str();
+
79 regex r("\\.cpp");
+
80 outputfn = regex_replace(outputfn, r, "_hdl");
+
81
+
82 LLVM_DEBUG(llvm::dbgs() << "File name is " << outputfn << "\n");
+
83 }
+
84 } else {
+
85 outputfn = hdl_file_out_;
+
86 }
+
87
+
88 llvm::raw_fd_ostream HCodeOut(outputfn + ".txt", ec,
+
89 llvm::sys::fs::CD_CreateAlways);
+
90 LLVM_DEBUG(llvm::dbgs() << "file " << outputfn
+
91 << ".txt, create error code is " << ec.value()
+
92 << "\n");
+
93
+
94 LLVM_DEBUG(llvm::dbgs() << "\n SC HDL plugin\n");
+
95
+
96 // typedef std::vector< modulePairType > moduleMapType;
+
97 // typedef std::pair<std::string, ModuleInstance *> modulePairType;
+
98
99
-
100 hNodep h_module = new hNode(hNode::hdlopsEnum::hModule);
-
101
-
102 // need to provide an old name for module instance decl
-
103 // since it's not derived from clang NamedDecl and doesn't have
-
104 // a str() class to dereference llvm:StringRef
+
100 ModuleInstance *modinstance{model->getRootModuleInstance()};
+
101 if (modinstance == nullptr) {
+
102 LLVM_DEBUG(llvm::dbgs() << "\nRoot instance not found, exiting\n");
+
103 return false;
+
104 }
105
-
106 mod_name_map.add_entry(modinstance, modinstance->getName(), h_module);
-
107 LLVM_DEBUG(llvm::dbgs() << "\ntop level module " << modinstance->getName()
-
108 << " renamed " << h_module->getname() << "\n");
+
106 // generate module instance for top module and its submodules
+
107
+
108 //string modname = modinstance->getName()+mod_newn.newname(); // include original name for readability
109
-
110 //mod_name_map[modinstance] = {modinstance->getName(),
-
111 // modname, h_module};
-
112
-
113 SCmodule2hcode(modinstance, h_module, HCodeOut);
-
114 // h_module->print(HCodeOut);
-
115
-
116 LLVM_DEBUG(llvm::dbgs() << "User Types Map\n");
-
117
-
118 while (!HDLt.usertype_info.usertypes.empty()) {
- - - - -
123 for (auto t : usertypestmp) {
-
124 LLVM_DEBUG(llvm::dbgs()
-
125 << "User Type --------\n"
-
126 << t.first << ":" << t.second.getTypePtr() << t.second.getAsString() <<"\n");
-
127 LLVM_DEBUG(t.second->dump(llvm::dbgs(), getContext()));
-
128 LLVM_DEBUG(llvm::dbgs() << "---------\n");
-
129 HDLt.addtype(t.first, t.second, getContext())->print(HCodeOut);
-
130 }
-
131 }
-
132 return true;
-
133 }
+
110 hNodep h_module = new hNode(hNode::hdlopsEnum::hModule);
+
111
+
112 // need to provide an old name for module instance decl
+
113 // since it's not derived from clang NamedDecl and doesn't have
+
114 // a str() class to dereference llvm:StringRef
+
115
+
116 mod_name_map.add_entry(modinstance, modinstance->getName(), h_module);
+
117 LLVM_DEBUG(llvm::dbgs() << "\ntop level module " << modinstance->getName()
+
118 << " renamed " << h_module->getname() << "\n");
+
119
+
120 //mod_name_map[modinstance] = {modinstance->getName(),
+
121 // modname, h_module};
+
122
+
123 SCmodule2hcode(modinstance, h_module, HCodeOut);
+
124 // h_module->print(HCodeOut);
+
125
+
126 LLVM_DEBUG(llvm::dbgs() << "User Types Map\n");
+
127
+
128 while (!HDLt.usertype_info.usertypes.empty()) {
+ + + + +
133 for (auto t : usertypestmp) {
+
134 LLVM_DEBUG(llvm::dbgs()
+
135 << "User Type --------\n"
+
136 << t.first << ":" << t.second.getTypePtr() << t.second.getAsString() <<"\n");
+
137 LLVM_DEBUG(t.second->dump(llvm::dbgs(), getContext()));
+
138 LLVM_DEBUG(llvm::dbgs() << "---------\n");
+
139 HDLt.addtype(t.first, t.second, getContext())->print(HCodeOut);
+
140 }
+
141 }
+
142 return true;
+
143 }
-
134
-
- -
136 llvm::raw_fd_ostream &HCodeOut) {
-
137 const std::vector<ModuleInstance *> &submodv = mod->getNestedModuleInstances();
-
138 const std::vector<ModuleInstance *> &basemods = mod->getBaseInstances();
-
139
-
144 overridden_method_map_t overridden_method_map;
-
145
-
146 LLVM_DEBUG( llvm::dbgs() << "Processing module " << mod->getName() << " instance " << mod->getInstanceName() << "\n");
-
147 LLVM_DEBUG( llvm::dbgs() << "dumping base instances \n");
-
148 LLVM_DEBUG(mod->dump_base_instances(llvm::dbgs()));
-
149 LLVM_DEBUG( llvm::dbgs() << "end base instances \n");
-
150
-
151 const CXXRecordDecl *cdecl{mod->getModuleClassDecl()};
-
152 LLVM_DEBUG( llvm::dbgs() << "Methods in this module\n");
-
153 for (const auto &method : cdecl->methods()) {
-
154 if (isValidMethod(method)) {
-
155 if (method->isVirtual()) {
-
156 LLVM_DEBUG( llvm::dbgs() << "Virtual ");
-
157 }
-
158 LLVM_DEBUG(llvm::dbgs() << "Method name is " << method->getParent()->getNameAsString() << "::" << method->getNameAsString()
-
159 << "\n");
-
160 QualType qtype{method->getThisType()};
-
161 LLVM_DEBUG(qtype.getTypePtr()->dump());
-
162 LLVM_DEBUG(llvm::dbgs() << "\n");
-
163 if (method->getBody() != NULL) {
-
164 LLVM_DEBUG(llvm::dbgs() << "Body of method non-null\n");
-
165 //LLVM_DEBUG(method->getBody()->dump());
-
166 }
-
167 else LLVM_DEBUG(llvm::dbgs() << "Empty method body\n");
-
168
-
169 for (const auto &ometh : method->overridden_methods()) {
-
170 LLVM_DEBUG(llvm::dbgs() << " overridden method " << ometh->getParent()->getNameAsString() << "::" << ometh->getNameAsString() << "\n");
-
171 if (ometh->hasBody()) {
-
172 overridden_method_map[ometh] = method;
-
173 }
-
174 else LLVM_DEBUG(llvm::dbgs() << "Empty overridden method body\n");
-
175 }
-
176 }
-
177 }
-
178
-
179 LLVM_DEBUG(llvm::dbgs() <<"Overridden method map\n");
-
180 for (auto ov: overridden_method_map) {
-
181 LLVM_DEBUG(llvm::dbgs() << "Overridden method\n");
-
182 LLVM_DEBUG(ov.first->dump(llvm::dbgs()));
-
183 LLVM_DEBUG(llvm::dbgs() << "Overriding method\n");
-
184 LLVM_DEBUG(ov.second->dump(llvm::dbgs()));
+
144
+
+ +
146 llvm::raw_fd_ostream &HCodeOut) {
+
147 const std::vector<ModuleInstance *> &submodv = mod->getNestedModuleInstances();
+
148 const std::vector<ModuleInstance *> &basemods = mod->getBaseInstances();
+
149
+
154 overridden_method_map_t overridden_method_map;
+
155
+
156 LLVM_DEBUG( llvm::dbgs() << "Processing module " << mod->getName() << " instance " << mod->getInstanceName() << "\n");
+
157 LLVM_DEBUG( llvm::dbgs() << "dumping base instances \n");
+
158 LLVM_DEBUG(mod->dump_base_instances(llvm::dbgs()));
+
159 LLVM_DEBUG( llvm::dbgs() << "end base instances \n");
+
160
+
161 const CXXRecordDecl *cdecl{mod->getModuleClassDecl()};
+
162 LLVM_DEBUG( llvm::dbgs() << "Methods in this module\n");
+
163 for (const auto &method : cdecl->methods()) {
+
164 if (isValidMethod(method)) {
+
165 if (method->isVirtual()) {
+
166 LLVM_DEBUG( llvm::dbgs() << "Virtual ");
+
167 }
+
168 LLVM_DEBUG(llvm::dbgs() << "Method name is " << method->getParent()->getNameAsString() << "::" << method->getNameAsString()
+
169 << "\n");
+
170 QualType qtype{method->getThisType()};
+
171 LLVM_DEBUG(qtype.getTypePtr()->dump());
+
172 LLVM_DEBUG(llvm::dbgs() << "\n");
+
173 if (method->getBody() != NULL) {
+
174 LLVM_DEBUG(llvm::dbgs() << "Body of method non-null\n");
+
175 //LLVM_DEBUG(method->getBody()->dump());
+
176 }
+
177 else LLVM_DEBUG(llvm::dbgs() << "Empty method body\n");
+
178
+
179 for (const auto &ometh : method->overridden_methods()) {
+
180 LLVM_DEBUG(llvm::dbgs() << " overridden method " << ometh->getParent()->getNameAsString() << "::" << ometh->getNameAsString() << "\n");
+
181 if (ometh->hasBody()) {
+
182 overridden_method_map[ometh] = method;
+
183 }
+
184 else LLVM_DEBUG(llvm::dbgs() << "Empty overridden method body\n");
185 }
-
186 LLVM_DEBUG(llvm::dbgs() <<"end Overridden method map\n");
-
187 LLVM_DEBUG( llvm::dbgs() << "End Methods in this module\n\n");
-
188
-
189 // look at constructor
-
190
-
191 // LLVM_DEBUG(llvm::dbgs() << "dumping module constructor stmt\n");
-
192
-
193 // LLVM_DEBUG(mod->getConstructorStmt()->dump(llvm::dbgs()));
-
194 //LLVM_DEBUG( llvm::dbgs() << "dumping module constructor decl body\n");
-
195 //LLVM_DEBUG(mod->getConstructorDecl()->getBody()->dump());
-
196 //LLVM_DEBUG( llvm::dbgs() << "end dumping module constructor decl body\n");
-
197 //LLVM_DEBUG(mod->getConstructorDecl()->dump(llvm::dbgs()));
-
198
-
199 LLVM_DEBUG(llvm::dbgs() << "submodule count is " << submodv.size() << "\n");
-
200
-
201 hdecl_name_map_t mod_vname_map("_scclang_global_");
-
202 xbodyp = new HDLBody(main_diag_engine, getContext(), mod_vname_map, allmethodecls, overridden_method_map);
-
203
- -
205 module_vars.clear();
-
206 threadresetmap.clear();
-
207
-
208 // Ports
-
209 hNodep h_ports =
-
210 new hNode(hNode::hdlopsEnum::hPortsigvarlist); // list of ports, signals
-
211 h_module->child_list.push_back(h_ports);
-
212
-
213 // generate port, sig, var for module inheritance chain
-
214 ModuleInstance *mod_i = mod;
-
215 for (int i = 0; i <= basemods.size(); i++) {
-
216 SCport2hcode(mod_i->getIPorts(), hNode::hdlopsEnum::hPortin, h_ports, mod_vname_map);
-
217 SCport2hcode(mod_i->getInputStreamPorts(), hNode::hdlopsEnum::hPortin, h_ports, mod_vname_map);
-
218 SCport2hcode(mod_i->getOPorts(), hNode::hdlopsEnum::hPortout, h_ports, mod_vname_map);
-
219 SCport2hcode(mod_i->getOutputStreamPorts(), hNode::hdlopsEnum::hPortout,
-
220 h_ports, mod_vname_map);
-
221 SCport2hcode(mod_i->getIOPorts(), hNode::hdlopsEnum::hPortio, h_ports, mod_vname_map);
-
222
-
223 // Signals
-
224 SCsig2hcode(mod_i->getSignals(), hNode::hdlopsEnum::hSigdecl, h_ports, mod_vname_map);
-
225
-
226 SCport2hcode(mod_i->getOtherVars(), hNode::hdlopsEnum::hVardecl, h_ports, mod_vname_map);
-
227 if (i == basemods.size()) break;
-
228 mod_i = basemods[i];
-
229 }
-
230
-
231 // add the submodule declarations
-
232
-
233 for (const auto &smod : submodv) {
-
234 std::vector<std::string> instnames;
-
235 if (smod->getInstanceInfo().isArrayType()) {
-
236 LLVM_DEBUG(llvm::dbgs() << "Array submodule " << smod->getInstanceInfo().getVarName() << "\n");
-
237 }
-
238 else {
-
239 LLVM_DEBUG(llvm::dbgs() << "Non-Array submodule " << smod->getInstanceInfo().getVarName() << "\n");
-
240 }
-
241
-
242 // we generate instance names based on the array indices so that the names match
-
243 // names used in the portbindings for each instance, which are generated in
-
244 // for loops (see HDLHNode.cpp code to unroll portbindings).
-
245
-
246 GenerateInstanceNames(smod, instnames);
-
247 bool frsttime = true;
-
248 for (auto instname: instnames) {
-
249 LLVM_DEBUG(llvm::dbgs() << "Instance " << instname << "\n");
-
250
-
251 hNodep h_smod =
-
252 new hNode(instname, hNode::hdlopsEnum::hModdecl);
-
253 h_ports->child_list.push_back(h_smod);
-
254 hNodep h_smodtypinfo = new hNode(hNode::hdlopsEnum::hTypeinfo);
-
255 if (frsttime) { // only enter the first one into the map
-
256 mod_name_map.add_entry(smod, smod->getName(), h_smod);
-
257 h_smod->set(instname); // override name inserted by map service
-
258 frsttime = false;
-
259 }
-
260 h_smodtypinfo->child_list.push_back(
-
261 new hNode(mod_name_map.find_entry_newn(smod), hNode::hdlopsEnum::hType));
-
262 h_smod->child_list.push_back(h_smodtypinfo);
-
263 }
-
264 }
-
265
-
266 // look at sensitivitiy list info
-
267 // init block
-
268 mod_i = mod;
-
269 hNodep h_modinitblockhead = new hNode( hNode::hdlopsEnum::hNoop); // hold list of module constructors
-
270 hNodep h_constructor;
-
271 hNodep h_allsenslists = new hNode( hNode::hdlopsEnum::hNoop);
-
272 for (int i = 0; i <= basemods.size(); i++) {
-
273 if (mod_i->getConstructorDecl() ==NULL) continue; // null constructor
-
274 h_constructor = new hNode(mod_i->getInstanceInfo().getVarName()+ (mod_i->getInstanceInfo().isArrayType()? "_0" :""),
-
275 hNode::hdlopsEnum::hModinitblock);
-
276 // SenseMapType sensmap = mod_i->getSensitivityMap();
-
277 // for (auto sensitem : sensmap) {
-
278 // sensitem->dump();
-
279 // }
-
280 xbodyp->Run(mod_i->getConstructorDecl()->getBody(), h_constructor,rmodinit);
-
281 LLVM_DEBUG(llvm::dbgs() << "HDL output for module constructor body\n");
-
282 LLVM_DEBUG(h_constructor->print(llvm::dbgs()));
-
283 HDLConstructorHcode hcxxbody;
-
284 hNodep modinithp = hcxxbody.ProcessCXXConstructorHcode(h_constructor);
-
285 if (modinithp->child_list.size() != 0) { // if there was an initblock
-
286 h_modinitblockhead->child_list.push_back(modinithp);
-
287 // need to add these nodes to h_senshead
-
288 std::vector<hNodep> slvec;
-
289 hcxxbody.GetSensLists(slvec);
-
290 h_allsenslists->child_list.insert(h_allsenslists->child_list.end(), slvec.begin(), slvec.end());
-
291 }
-
292
-
293 //h_constructor->print(HCodeOut);
-
294 if (i == basemods.size()) break;
-
295 mod_i = basemods[i];
-
296 }
-
297
-
298 //LLVM_DEBUG(llvm::dbgs() << "Module sensitivity lists follow\n");
-
299 //LLVM_DEBUG(h_allsenslists->print(llvm::dbgs()));
-
300 //LLVM_DEBUG(llvm::dbgs() << "Module sensitivity lists end\n");
-
301
-
302 // build map of thread name to reset var name for this module
-
303 MakeResetMap(threadresetmap, h_allsenslists);
-
304
-
305 LLVM_DEBUG(llvm::dbgs() << "Module vname map size is " << mod_vname_map.size() << " \n");
-
306
-
307 // Processes
-
308 hNodep h_processes = new hNode(hNode::hdlopsEnum::hProcesses);
-
309 mod_i = mod;
-
310 for (int i = 0; i <= basemods.size(); i++) {
-
311 // send portsigvarlist (h_ports) to proc code gen so thread vars get promoted to module level
-
312 SCproc2hcode(mod_i->getProcessMap(), h_processes, h_ports, mod_vname_map, overridden_method_map, threadresetmap);
-
313 if (i == basemods.size()) break;
-
314 mod_i = basemods[i];
-
315 }
-
316 // add all the processes (including those in the inheritance chain) to the module
-
317 if (!h_processes->child_list.empty()) h_module->child_list.push_back(h_processes);
-
318
-
319 // add extra sig and var decls to shadow those referenced in threads
-
320 for (auto const &var: mod_vname_map) {
-
321 if (var.second.referenced) {
-
322 hNodep hvp = new hNode("_main_"+var.second.h_vardeclp->getname(), var.second.h_vardeclp->getopc());
-
323 hvp->child_list = var.second.h_vardeclp->child_list;
-
324 h_ports->append(hvp);
-
325 }
-
326 }
-
327
-
328
-
329 // now add init block
-
330 if (h_modinitblockhead->size()>0) {
-
331 h_module->append(h_modinitblockhead->child_list[0]);
-
332 //h_module->child_list.insert(h_module->child_list.end(), h_modinitblockhead->child_list.begin(), h_modinitblockhead->child_list.end());
-
333 hNodep hfirstblock = h_modinitblockhead->child_list[0];
-
334 for (int i = 1; i< h_modinitblockhead->size(); i++) { // in case of multiple modinit blocks due to inheritance
-
335 // join all their child_lists under the first mod_int
-
336 hfirstblock->child_list.insert(hfirstblock->child_list.end(),
-
337 h_modinitblockhead->child_list[i]->child_list.begin(),
-
338 h_modinitblockhead->child_list[i]->child_list.end());
-
339
-
340 }
-
341 }
-
342
-
343 // Functions
-
344 // Initially these are functions that were referenced in the module's sc_methods/threads
-
345 // Function calls within functions get added to all methodecls.
-
346
-
347 std::set<Decl *> generated_functions;
-
348 bool addfunc = false;
-
349 //while (allmethodecls.size() > 0) {
-
350 while (allmethodecls.size()>generated_functions.size()) {
-
351 LLVM_DEBUG(llvm::dbgs() << "Module Method/Function Map\n");
-
352
-
353 hfunc_name_map_t &modmethodecls = allmethodecls;
-
354 //modmethodecls =
-
355 // std::move(allmethodecls); // procedures/functions found in this module
-
356 LLVM_DEBUG(llvm::dbgs()
-
357 << "size of allmethodecls is " << allmethodecls.size() << "\n");
-
358 LLVM_DEBUG(allmethodecls.print(llvm::dbgs()));
-
359 LLVM_DEBUG(llvm::dbgs()
-
360 << "size of generated_functions is " << generated_functions.size() << "\n");
-
361 LLVM_DEBUG(llvm::dbgs()
-
362 << "size of modmethodecls is " << modmethodecls.size() << "\n");
-
363 //LLVM_DEBUG(modmethodecls.print(llvm::dbgs()));
-
364 LLVM_DEBUG(HDLt.print(llvm::dbgs()));
-
365 for (auto const &m : modmethodecls) {
-
366 //for (auto const &m : allmethodecls) {
-
367 LLVM_DEBUG(llvm::dbgs() << "Method --------\n"
-
368 << m.first << " " << m.second.newn << " generatedcount is " << generated_functions.count(m.first)<< "\n");
-
369 LLVM_DEBUG(m.first->dump(llvm::dbgs()));
-
370 LLVM_DEBUG(llvm::dbgs() << "---------\n");
-
371 if (generated_functions.count(m.first) > 0) continue; // already generated this one !!!!!
-
372 generated_functions.insert(m.first);
-
373 //clang::DiagnosticsEngine &diag_engine{getContext().getDiagnostics()};
-
374 if (m.first->hasBody()) {
-
375 //if (generated_functions.count(m.first) > 0) continue; // already generated this one !!!!!
-
376 //generated_functions.insert(m.first);
-
377 hNodep hfunc = new hNode(m.second.newn, hNode::hdlopsEnum::hFunction);
-
378 QualType qrettype = m.first->getReturnType(); // m.first->getDeclaredReturnType();
-
379 const clang::Type *rettype = qrettype.getTypePtr();
- -
381 te->Enumerate(rettype);
-
382 HDLType HDLt2;
-
383 // what about returning an array type? this isn't handled
-
384 HDLt2.SCtype2hcode("", te->getTemplateArgTreePtr(), NULL,
-
385 hNode::hdlopsEnum::hFunctionRetType, hfunc);
-
386 CXXMethodDecl * thismethod = dyn_cast<CXXMethodDecl>(m.first);
-
387 bool isUserDefinedMethod = (thismethod != NULL) && (modmethodecls.methodobjtypemap.count(thismethod));//modmethodecls.methodobjtypemap.count(thismethod));
-
388 if (thismethod != NULL) {
-
389 LLVM_DEBUG(llvm::dbgs() << thismethod->getParent()->getQualifiedNameAsString() << " " << m.second.newn << " is a Method\n");
-
390 }
-
391 else LLVM_DEBUG(llvm::dbgs() << m.second.newn << " is a Function\n");
-
392 if ((m.first->getNumParams() > 0) || (thismethod != NULL)) {
-
393 hNodep hparams = new hNode(hNode::hdlopsEnum::hFunctionParams);
-
394 hNodep hparam_assign_list = new hNode(hNode::hdlopsEnum::hCStmt);
-
395 hfunc->child_list.push_back(hparams);
-
396
-
397 if (isUserDefinedMethod) { // user defined non scmodule method
-
398 hNodep hthisparam = new hNode("hthis", hNode::hdlopsEnum::hFunctionParamIO);
-
399 hNodep hthistype = new hNode(hNode::hdlopsEnum::hTypeinfo);
-
400 const clang::Type * tp = modmethodecls.methodobjtypemap[thismethod];// modmethodecls.methodobjtypemap[thismethod];
-
401 if (tp == NULL) {
-
402 LLVM_DEBUG(llvm::dbgs() <<"Couldn't find methodobjtypemap entry for " << thismethod << "\n");
-
403 }
-
404 else {
-
405 if (HDLt.usertype_info.userrectypes.count(tp)) {
-
406 LLVM_DEBUG(llvm::dbgs() << "Found methodobjtypemap entry for " << thismethod << " and userrectypes gives " << HDLt.usertype_info.userrectypes[tp] << "\n");
-
407 }
-
408 else {
-
409 LLVM_DEBUG(llvm::dbgs() << "Couldn't find userrectypes entry for " << tp << "\n");
-
410 LLVM_DEBUG(HDLt.print(llvm::dbgs()));
-
411 }
-
412 }
-
413 hthistype->append(new hNode(HDLt.usertype_info.userrectypes[tp],
-
414 hNode::hdlopsEnum::hType));
-
415 hthisparam->append(hthistype);
-
416 hparams->append(hthisparam);
-
417 }
-
418 for (int i = 0; i < m.first->getNumParams(); i++) {
-
419 ParmVarDecl *vardecl = m.first->getParamDecl(i);
-
420 QualType q = vardecl->getType();
-
421 const clang::Type *tp = q.getTypePtr();
-
422 LLVM_DEBUG(llvm::dbgs() << "ProcessParmVarDecl type name is "
-
423 << q.getAsString() << "\n");
- -
425 te->Enumerate(tp);
-
426 HDLType HDLt1;
-
427 std::vector<llvm::APInt> array_sizes = sc_ast_matchers::utils::array_type::getConstantArraySizes(vardecl);
-
428 hNode::hdlopsEnum paramtype;
-
429 // special case if sc_min, max, abs, treat parameters as input
-
430 // unfortunately simulation library makes them I/O
-
431 //if (mutil.is_sc_macro(m.first)) paramtype = hNode::hdlopsEnum::hFunctionParamI;
-
432
-
433 // ============= CHECK ==============
-
434 //bool t1 = mutil.isSCByFunctionDecl(m.first);
-
435 bool t1 = mutil.checkNamespace(m.first);
-
436 bool t2 = mutil.isSCMacro(m.second.oldn);
-
437
-
438 if (t1 != t2) {
-
439 llvm::dbgs() << "@@@@ isSCMacro does not match. t1 = " << t1 << ", t2 = " << t2 << " " << m.second.oldn << "\n";
-
440 assert(0 && "isSCMacro does not match");
-
441 }
-
442 // ============= END CHECK ==============
-
443 //
-
444 if (mutil.isSCMacro(m.second.oldn)) {
-
445 paramtype = hNode::hdlopsEnum::hFunctionParamI;
-
446 }
-
447 else if ((vardecl->getType()->isReferenceType()) && !(vardecl->getType().getNonReferenceType().isConstQualified()))
-
448 paramtype = hNode::hdlopsEnum::hFunctionParamRef;
-
449 else { // handle actual parameter
-
450
-
451 paramtype = hNode::hdlopsEnum::hFunctionParamI;
-
452 // create an entry in mod_vname_map for this parameter's local variable
-
453 string objname = vardecl->getName().str()+"_actual";
-
454
-
455 HDLt1.SCtype2hcode(objname, te->getTemplateArgTreePtr(),
-
456 &array_sizes, hNode::hdlopsEnum::hVardecl, h_ports);
-
457 mod_vname_map.add_entry(vardecl, objname, h_ports->child_list.back());
-
458 hNodep hparam_assign = new hNode("=", hNode::hdlopsEnum::hBinop);
-
459 hNodep hv = new hNode(mod_vname_map.find_entry_newn(vardecl), hNode::hdlopsEnum::hVarref);
-
460 hparam_assign->append(hv);
-
461 hv = new hNode(vardecl->getName().str(), hNode::hdlopsEnum::hVarref);
-
462 hparam_assign->append(hv);
-
463 hparam_assign_list->append(hparam_assign);
+
186 }
+
187 }
+
188
+
189 LLVM_DEBUG(llvm::dbgs() <<"Overridden method map\n");
+
190 for (auto ov: overridden_method_map) {
+
191 LLVM_DEBUG(llvm::dbgs() << "Overridden method\n");
+
192 LLVM_DEBUG(ov.first->dump(llvm::dbgs()));
+
193 LLVM_DEBUG(llvm::dbgs() << "Overriding method\n");
+
194 LLVM_DEBUG(ov.second->dump(llvm::dbgs()));
+
195 }
+
196 LLVM_DEBUG(llvm::dbgs() <<"end Overridden method map\n");
+
197 LLVM_DEBUG( llvm::dbgs() << "End Methods in this module\n\n");
+
198
+
199 // look at constructor
+
200
+
201 // LLVM_DEBUG(llvm::dbgs() << "dumping module constructor stmt\n");
+
202
+
203 // LLVM_DEBUG(mod->getConstructorStmt()->dump(llvm::dbgs()));
+
204 //LLVM_DEBUG( llvm::dbgs() << "dumping module constructor decl body\n");
+
205 //LLVM_DEBUG(mod->getConstructorDecl()->getBody()->dump());
+
206 //LLVM_DEBUG( llvm::dbgs() << "end dumping module constructor decl body\n");
+
207 //LLVM_DEBUG(mod->getConstructorDecl()->dump(llvm::dbgs()));
+
208
+
209 LLVM_DEBUG(llvm::dbgs() << "submodule count is " << submodv.size() << "\n");
+
210
+
211 hdecl_name_map_t mod_vname_map("_scclang_global_");
+
212 xbodyp = new HDLBody(main_diag_engine, getContext(), mod_vname_map, allmethodecls, overridden_method_map);
+
213
+ +
215 module_vars.clear();
+
216 threadresetmap.clear();
+
217
+
218 // Ports
+
219 hNodep h_ports =
+
220 new hNode(hNode::hdlopsEnum::hPortsigvarlist); // list of ports, signals
+
221 h_module->child_list.push_back(h_ports);
+
222
+
223 // generate port, sig, var for module inheritance chain
+
224 ModuleInstance *mod_i = mod;
+
225 for (int i = 0; i <= basemods.size(); i++) {
+
226 SCport2hcode(mod_i->getIPorts(), hNode::hdlopsEnum::hPortin, h_ports, mod_vname_map);
+
227 SCport2hcode(mod_i->getInputStreamPorts(), hNode::hdlopsEnum::hPortin, h_ports, mod_vname_map);
+
228 SCport2hcode(mod_i->getOPorts(), hNode::hdlopsEnum::hPortout, h_ports, mod_vname_map);
+
229 SCport2hcode(mod_i->getOutputStreamPorts(), hNode::hdlopsEnum::hPortout,
+
230 h_ports, mod_vname_map);
+
231 SCport2hcode(mod_i->getIOPorts(), hNode::hdlopsEnum::hPortio, h_ports, mod_vname_map);
+
232
+
233 // Signals
+
234 SCsig2hcode(mod_i->getSignals(), hNode::hdlopsEnum::hSigdecl, h_ports, mod_vname_map);
+
235
+
236 SCport2hcode(mod_i->getOtherVars(), hNode::hdlopsEnum::hVardecl, h_ports, mod_vname_map);
+
237 if (i == basemods.size()) break;
+
238 mod_i = basemods[i];
+
239 }
+
240
+
241 // add the submodule declarations
+
242
+
243 for (const auto &smod : submodv) {
+
244 //std::vector<std::string> instnames;
+
245 if (smod->getInstanceInfo().isArrayType()) {
+
246 LLVM_DEBUG(llvm::dbgs() << "Array submodule " << smod->getInstanceInfo().getVarName() << "\n");
+
247 }
+
248 else {
+
249 LLVM_DEBUG(llvm::dbgs() << "Non-Array submodule " << smod->getInstanceInfo().getVarName() << "\n");
+
250 }
+
251
+
252 // Not doing below:
+
253 // we generate instance names based on the array indices so that the names match
+
254 // names used in the portbindings for each instance, which are generated in
+
255 // for loops (see HDLHNode.cpp code to unroll portbindings).
+
256
+
257 //GenerateInstanceNames(smod, instnames);
+
258 //bool frsttime = true;
+
259 //for (auto instname: instnames) {
+
260 //LLVM_DEBUG(llvm::dbgs() << "Instance " << instname << "\n");
+
261 //string instname = instnames[0];
+
262 LLVM_DEBUG(llvm::dbgs() << "Submod name is " << smod->getName() << "\n"); // fwd_lift
+
263
+
264 LLVM_DEBUG(llvm::dbgs() << "Instance Var name is " << smod->getInstanceInfo().getVarName() << "\n"); //u_xt
+
265 LLVM_DEBUG(llvm::dbgs() << "Instance name is " << smod->getInstanceInfo().getInstanceNames()[0] << "\n"); //u_xt_0
+
266 string instname = smod->getInstanceInfo().getVarName(); //smod->getInstanceInfo().getInstanceNames()[0];
+
267
+
268 hNodep h_smod =
+
269 new hNode(instname, hNode::hdlopsEnum::hModdecl);
+
270 h_ports->child_list.push_back(h_smod);
+
271 hNodep h_smodtypinfo = new hNode(hNode::hdlopsEnum::hTypeinfo);
+
272 //if (frsttime) { // only enter the first one into the map
+
273 mod_name_map.add_entry(smod, smod->getName(), h_smod);
+
274 h_smod->set(instname); // override name inserted by map service
+
275 //frsttime = false;
+
276 //}
+
277 hNodep h_smod_typep = new hNode( hNode::hdlopsEnum::hType);
+
278 if (smod->getInstanceInfo().isArrayType()) {
+
279 h_smod_typep->set("array##"+std::to_string(smod->getInstanceInfo().getInstanceNames().size()));
+
280 h_smod_typep->append(new hNode(mod_name_map.find_entry_newn(smod), hNode::hdlopsEnum::hType));
+
281 }
+
282 else {
+
283 h_smod_typep->set(mod_name_map.find_entry_newn(smod));
+
284 }
+
285 h_smodtypinfo->child_list.push_back(h_smod_typep);
+
286 h_smod->child_list.push_back(h_smodtypinfo);
+
287 }
+
288
+
289 // init block
+
290 mod_i = mod;
+
291 hNodep h_modinitblockhead = new hNode( hNode::hdlopsEnum::hNoop); // hold list of module constructors
+
292 hNodep h_constructor;
+
293 hNodep h_allsenslists = new hNode( hNode::hdlopsEnum::hNoop);
+
294 for (int i = 0; i <= basemods.size(); i++) {
+
295 if (mod_i->getConstructorDecl() ==NULL) continue; // null constructor
+
296 h_constructor = new hNode(mod_i->getInstanceInfo().getVarName(),// + (mod_i->getInstanceInfo().isArrayType()? "_0" :""),
+
297 hNode::hdlopsEnum::hModinitblock);
+
298 // SenseMapType sensmap = mod_i->getSensitivityMap();
+
299 // for (auto sensitem : sensmap) {
+
300 // sensitem->dump();
+
301 // }
+
302
+
303 xbodyp->Run(mod_i->getConstructorDecl()->getBody(), h_constructor,rmodinit);
+
304 LLVM_DEBUG(llvm::dbgs() << "HDL output for module constructor body\n");
+
305 LLVM_DEBUG(h_constructor->print(llvm::dbgs()));
+
306 HDLConstructorHcode hcxxbody;
+
307 hNodep modinithp = hcxxbody.ProcessCXXConstructorHcode(h_constructor);
+
308 if (modinithp->child_list.size() != 0) { // if there was an initblock
+
309 h_modinitblockhead->child_list.push_back(modinithp);
+
310 // need to add these nodes to h_senshead
+
311 std::vector<hNodep> slvec;
+
312 hcxxbody.GetSensLists(slvec);
+
313 h_allsenslists->child_list.insert(h_allsenslists->child_list.end(), slvec.begin(), slvec.end());
+
314 }
+
315
+
316 //h_constructor->print(HCodeOut);
+
317 if (i == basemods.size()) break;
+
318 mod_i = basemods[i];
+
319 }
+
320
+
321 //LLVM_DEBUG(llvm::dbgs() << "Module sensitivity lists follow\n");
+
322 //LLVM_DEBUG(h_allsenslists->print(llvm::dbgs()));
+
323 //LLVM_DEBUG(llvm::dbgs() << "Module sensitivity lists end\n");
+
324
+
325 // build map of thread name to reset var name for this module
+
326 //MakeResetMap(threadresetmap, h_allsenslists);
+
327
+
328 LLVM_DEBUG(llvm::dbgs() << "Module vname map size is " << mod_vname_map.size() << " \n");
+
329
+
330 // Processes
+
331 hNodep h_processes = new hNode(hNode::hdlopsEnum::hProcesses);
+
332 mod_i = mod;
+
333 for (int i = 0; i <= basemods.size(); i++) {
+
334 // send portsigvarlist (h_ports) to proc code gen so thread vars get promoted to module level
+
335 SCproc2hcode(mod_i->getProcessMap(), h_processes, h_ports, mod_vname_map, overridden_method_map, threadresetmap);
+
336 if (i == basemods.size()) break;
+
337 mod_i = basemods[i];
+
338 }
+
339 // add all the processes (including those in the inheritance chain) to the module
+
340 if (!h_processes->child_list.empty()) h_module->child_list.push_back(h_processes);
+
341
+
342 // add extra sig and var decls to shadow those referenced in threads
+
343 for (auto const &var: mod_vname_map) {
+
344 if (var.second.referenced) {
+
345 hNodep hvp = new hNode("_main_"+var.second.h_vardeclp->getname(), var.second.h_vardeclp->getopc());
+
346 hvp->child_list = var.second.h_vardeclp->child_list;
+
347 h_ports->append(hvp);
+
348 }
+
349 }
+
350
+
351
+
352 // now add init block
+
353 if (h_modinitblockhead->size()>0) {
+
354 h_module->append(h_modinitblockhead->child_list[0]);
+
355 //h_module->child_list.insert(h_module->child_list.end(), h_modinitblockhead->child_list.begin(), h_modinitblockhead->child_list.end());
+
356 hNodep hfirstblock = h_modinitblockhead->child_list[0];
+
357 for (int i = 1; i< h_modinitblockhead->size(); i++) { // in case of multiple modinit blocks due to inheritance
+
358 // join all their child_lists under the first mod_int
+
359 hfirstblock->child_list.insert(hfirstblock->child_list.end(),
+
360 h_modinitblockhead->child_list[i]->child_list.begin(),
+
361 h_modinitblockhead->child_list[i]->child_list.end());
+
362
+
363 }
+
364 }
+
365
+
366 // Functions
+
367 // Initially these are functions that were referenced in the module's sc_methods/threads
+
368 // Function calls within functions get added to all methodecls.
+
369
+
370 std::set<Decl *> generated_functions;
+
371 bool addfunc = false;
+
372 //while (allmethodecls.size() > 0) {
+
373 while (allmethodecls.size()>generated_functions.size()) {
+
374 LLVM_DEBUG(llvm::dbgs() << "Module Method/Function Map\n");
+
375
+
376 hfunc_name_map_t &modmethodecls = allmethodecls;
+
377 //modmethodecls =
+
378 // std::move(allmethodecls); // procedures/functions found in this module
+
379 LLVM_DEBUG(llvm::dbgs()
+
380 << "size of allmethodecls is " << allmethodecls.size() << "\n");
+
381 LLVM_DEBUG(allmethodecls.print(llvm::dbgs()));
+
382 LLVM_DEBUG(llvm::dbgs()
+
383 << "size of generated_functions is " << generated_functions.size() << "\n");
+
384 LLVM_DEBUG(llvm::dbgs()
+
385 << "size of modmethodecls is " << modmethodecls.size() << "\n");
+
386 //LLVM_DEBUG(modmethodecls.print(llvm::dbgs()));
+
387 LLVM_DEBUG(HDLt.print(llvm::dbgs()));
+
388 for (auto const &m : modmethodecls) {
+
389 //for (auto const &m : allmethodecls) {
+
390 LLVM_DEBUG(llvm::dbgs() << "Method --------\n"
+
391 << m.first << " " << m.second.newn << " generatedcount is " << generated_functions.count(m.first)<< "\n");
+
392 LLVM_DEBUG(m.first->dump(llvm::dbgs()));
+
393 LLVM_DEBUG(llvm::dbgs() << "---------\n");
+
394 if (generated_functions.count(m.first) > 0) continue; // already generated this one !!!!!
+
395 generated_functions.insert(m.first);
+
396 //clang::DiagnosticsEngine &diag_engine{getContext().getDiagnostics()};
+
397 if (m.first->hasBody()) { // && !m.first->hasTrivialBody()) {
+
398 //if (generated_functions.count(m.first) > 0) continue; // already generated this one !!!!!
+
399 //generated_functions.insert(m.first);
+
400 hNodep hfunc = new hNode(m.second.newn, hNode::hdlopsEnum::hFunction);
+
401 QualType qrettype = m.first->getReturnType(); // m.first->getDeclaredReturnType();
+
402 const clang::Type *rettype = qrettype.getTypePtr();
+ +
404 te->Enumerate(rettype);
+
405 HDLType HDLt2;
+
406 // what about returning an array type? this isn't handled
+
407 HDLt2.SCtype2hcode("", te->getTemplateArgTreePtr(), NULL,
+
408 hNode::hdlopsEnum::hFunctionRetType, hfunc);
+
409 CXXMethodDecl * thismethod = dyn_cast<CXXMethodDecl>(m.first);
+
410 bool isUserDefinedMethod = (thismethod != NULL) && (modmethodecls.methodobjtypemap.count(thismethod));//modmethodecls.methodobjtypemap.count(thismethod));
+
411 if (thismethod != NULL) {
+
412 LLVM_DEBUG(llvm::dbgs() << thismethod->getParent()->getQualifiedNameAsString() << " " << m.second.newn << " is a Method\n");
+
413 }
+
414 else LLVM_DEBUG(llvm::dbgs() << m.second.newn << " is a Function\n");
+
415 if ((m.first->getNumParams() > 0) || (thismethod != NULL)) {
+
416 hNodep hparams = new hNode(hNode::hdlopsEnum::hFunctionParams);
+
417 hNodep hparam_assign_list = new hNode(hNode::hdlopsEnum::hCStmt);
+
418 hfunc->child_list.push_back(hparams);
+
419
+
420 if (isUserDefinedMethod) { // user defined non scmodule method
+
421 hNodep hthisparam = new hNode("hthis", hNode::hdlopsEnum::hFunctionParamIO);
+
422 hNodep hthistype = new hNode(hNode::hdlopsEnum::hTypeinfo);
+
423 const clang::Type * tp = modmethodecls.methodobjtypemap[thismethod];// modmethodecls.methodobjtypemap[thismethod];
+
424 if (tp == NULL) {
+
425 LLVM_DEBUG(llvm::dbgs() <<"Couldn't find methodobjtypemap entry for " << thismethod << "\n");
+
426 }
+
427 else {
+
428 if (HDLt.usertype_info.userrectypes.count(tp)) {
+
429 LLVM_DEBUG(llvm::dbgs() << "Found methodobjtypemap entry for " << thismethod << " and userrectypes gives " << HDLt.usertype_info.userrectypes[tp] << "\n");
+
430 }
+
431 else {
+
432 LLVM_DEBUG(llvm::dbgs() << "Couldn't find userrectypes entry for " << tp << "\n");
+
433 LLVM_DEBUG(HDLt.print(llvm::dbgs()));
+
434 }
+
435 }
+
436 hthistype->append(new hNode(HDLt.usertype_info.userrectypes[tp],
+
437 hNode::hdlopsEnum::hType));
+
438 hthisparam->append(hthistype);
+
439 hparams->append(hthisparam);
+
440 }
+
441 for (int i = 0; i < m.first->getNumParams(); i++) {
+
442 ParmVarDecl *vardecl = m.first->getParamDecl(i);
+
443 QualType q = vardecl->getType();
+
444 const clang::Type *tp = q.getTypePtr();
+
445 LLVM_DEBUG(llvm::dbgs() << "ProcessParmVarDecl type name is "
+
446 << q.getAsString() << "\n");
+ +
448 te->Enumerate(tp);
+
449 HDLType HDLt1;
+
450 std::vector<llvm::APInt> array_sizes = sc_ast_matchers::utils::array_type::getConstantArraySizes(vardecl);
+
451 hNode::hdlopsEnum paramtype;
+
452 // special case if sc_min, max, abs, treat parameters as input
+
453 // unfortunately simulation library makes them I/O
+
454 //if (mutil.is_sc_macro(m.first)) paramtype = hNode::hdlopsEnum::hFunctionParamI;
+
455
+
456 // ============= CHECK ==============
+
457 //bool t1 = mutil.isSCByFunctionDecl(m.first);
+
458 bool t1 = mutil.checkNamespace(m.first);
+
459 bool t2 = mutil.isSCMacro(m.second.oldn);
+
460
+
461 if (t1 != t2) {
+
462 llvm::dbgs() << "@@@@ isSCMacro does not match. t1 = " << t1 << ", t2 = " << t2 << " " << m.second.oldn << "\n";
+
463 assert(0 && "isSCMacro does not match");
464 }
-
465
-
466 HDLt1.SCtype2hcode(vardecl->getName().str(), te->getTemplateArgTreePtr(),
-
467 &array_sizes, paramtype, hparams);
-
468 }
-
469
-
470 if (hparam_assign_list->child_list.size()>0) { // there were some actual parameters
-
471 hNodep htmpf = new hNode( hNode::hdlopsEnum::hCStmt);
-
472 if (isUserDefinedMethod) {
-
473 xbodyp->Run(m.first->getBody(), htmpf, ruserdefclass, &HDLt); // suppress output of unqualified name
-
474 }
-
475 else {
-
476 xbodyp->Run(m.first->getBody(), htmpf,rnomode);
-
477 }
-
478
-
479 hNodep hfunccstmt = htmpf->child_list.back(); // htmpf is list of vardecls followed by function body in a cstmt
-
480 hfunccstmt->child_list.insert(hfunccstmt->child_list.begin(), hparam_assign_list->child_list.begin(), hparam_assign_list->child_list.end());
-
481
-
482 hfunc->child_list.insert(hfunc->child_list.end(), htmpf->child_list.begin(), htmpf->child_list.end());
-
483
-
484 }
-
485 else {
-
486 if (isUserDefinedMethod) {
-
487 xbodyp->Run(m.first->getBody(), hfunc, ruserdefclass, &HDLt); // suppress output of unqualified name
-
488 }
-
489 else {
-
490 xbodyp->Run(m.first->getBody(), hfunc,rnomode);
+
465 // ============= END CHECK ==============
+
466 //
+
467 if (mutil.isSCMacro(m.second.oldn)) {
+
468 paramtype = hNode::hdlopsEnum::hFunctionParamI;
+
469 }
+
470 else if ((vardecl->getType()->isReferenceType()) && !(vardecl->getType().getNonReferenceType().isConstQualified()))
+
471 paramtype = hNode::hdlopsEnum::hFunctionParamRef;
+
472 else { // handle actual parameter
+
473 // still messed up here for user defined struct: hFunctionParamI NONAME, hType fp_t_11_52_ NOLIST;
+
474 //hBinop = [
+
475 //hVarref _actual_scclang_global_3 NOLIST
+
476 //hVarref _actual NOLIST
+
477 paramtype = hNode::hdlopsEnum::hFunctionParamI;
+
478 // create an entry in mod_vname_map for this parameter's local variable
+
479 string objname = vardecl->getName().str()+"_actual";
+
480
+
481 HDLt1.SCtype2hcode(objname, te->getTemplateArgTreePtr(),
+
482 &array_sizes, hNode::hdlopsEnum::hVardecl, h_ports);
+
483 mod_vname_map.add_entry(vardecl, objname, h_ports->child_list.back());
+
484 hNodep hparam_assign = new hNode("=", hNode::hdlopsEnum::hBinop);
+
485 hNodep hv = new hNode(mod_vname_map.find_entry_newn(vardecl), hNode::hdlopsEnum::hVarref);
+
486 hparam_assign->append(hv);
+
487 hv = new hNode(vardecl->getName().str(), hNode::hdlopsEnum::hVarref);
+
488 //doesn't work when name isn't given hv = new hNode(objname, hNode::hdlopsEnum::hVarref); // dummy actual parameter for user defined types
+
489 hparam_assign->append(hv);
+
490 hparam_assign_list->append(hparam_assign);
491 }
-
492 }
-
493 } // num of parameters > 0
-
494 else {
-
495 LLVM_DEBUG(llvm::dbgs() << " No parameters found for " << m.second.newn << "\n");
-
496 hNodep htmpf = new hNode( hNode::hdlopsEnum::hCStmt);
-
497 xbodyp->Run(m.first->getBody(), htmpf,rnomode);
-
498 hfunc->child_list.insert(hfunc->child_list.end(), htmpf->child_list.begin(), htmpf->child_list.end());
-
499 }
-
500 // If this function invoked other functions, add them to the list to be generated
-
501 allmethodecls.insertall(xbodyp->methodecls); // if a function called
-
502 h_processes->child_list.push_back(hfunc);
-
503 // LLVM_DEBUG(m.second->dump(llvm::dbgs()));
-
504 } // end non-null body
-
505 }
-
506 }
-
507
-
508 h_module->print(HCodeOut);
-
509 // now generate submodules
-
510 delete xbodyp; // release this hdlbody
-
511
-
512 for (const auto &smod : submodv) {
-
513
-
514 string modname = mod_name_map.find_entry_newn(smod);
-
515 LLVM_DEBUG(llvm::dbgs() << "generate submodule " << smod->getName()
-
516 << " renamed " << modname << "\n");
-
517 hNodep h_submod = new hNode(modname, hNode::hdlopsEnum::hModule);
-
518 SCmodule2hcode(smod, h_submod, HCodeOut);
-
519 // }
-
520 }
-
521 }
+
492
+
493 HDLt1.SCtype2hcode(vardecl->getName().str(), te->getTemplateArgTreePtr(),
+
494 &array_sizes, paramtype, hparams);
+
495 }
+
496
+
497 if (hparam_assign_list->child_list.size()>0) { // there were some actual parameters
+
498 hNodep htmpf = new hNode( hNode::hdlopsEnum::hCStmt);
+
499 if (isUserDefinedMethod) {
+
500 xbodyp->Run(m.first->getBody(), htmpf, ruserdefclass, &HDLt); // suppress output of unqualified name
+
501 }
+
502 else {
+
503 xbodyp->Run(m.first->getBody(), htmpf,rnomode);
+
504 }
+
505
+
506 hNodep hfunccstmt = htmpf->child_list.back(); // htmpf is list of vardecls followed by function body in a cstmt
+
507 hfunccstmt->child_list.insert(hfunccstmt->child_list.begin(), hparam_assign_list->child_list.begin(), hparam_assign_list->child_list.end());
+
508
+
509 hfunc->child_list.insert(hfunc->child_list.end(), htmpf->child_list.begin(), htmpf->child_list.end());
+
510
+
511 }
+
512 else {
+
513 if (isUserDefinedMethod) {
+
514 xbodyp->Run(m.first->getBody(), hfunc, ruserdefclass, &HDLt); // suppress output of unqualified name
+
515 }
+
516 else {
+
517 xbodyp->Run(m.first->getBody(), hfunc,rnomode);
+
518 }
+
519 }
+
520 } // num of parameters > 0
+
521 else {
+
522 LLVM_DEBUG(llvm::dbgs() << " No parameters found for " << m.second.newn << "\n");
+
523 hNodep htmpf = new hNode( hNode::hdlopsEnum::hCStmt);
+
524 xbodyp->Run(m.first->getBody(), htmpf,rnomode);
+
525 hfunc->child_list.insert(hfunc->child_list.end(), htmpf->child_list.begin(), htmpf->child_list.end());
+
526 }
+
527 // If this function invoked other functions, add them to the list to be generated
+
528 allmethodecls.insertall(xbodyp->methodecls); // if a function called
+
529 h_processes->child_list.push_back(hfunc);
+
530 // LLVM_DEBUG(m.second->dump(llvm::dbgs()));
+
531 } // end non-null body
+
532 }
+
533 }
+
534
+
535 h_module->print(HCodeOut);
+
536 // now generate submodules
+
537 delete xbodyp; // release this hdlbody
+
538
+
539 for (const auto &smod : submodv) {
+
540
+
541 string modname = mod_name_map.find_entry_newn(smod);
+
542 LLVM_DEBUG(llvm::dbgs() << "generate submodule " << smod->getName()
+
543 << " renamed " << modname << "\n");
+
544 hNodep h_submod = new hNode(modname, hNode::hdlopsEnum::hModule);
+
545 SCmodule2hcode(smod, h_submod, HCodeOut);
+
546 // }
+
547 }
+
548 }
-
522
-
-
523 void HDLMain::GenerateInstanceNames(ModuleInstance *smod, std::vector<std::string> &instnames) {
-
524 string basevarname = smod->getInstanceInfo().getVarName();
-
525 std::vector<llvm::APInt> arraysizes = smod->getInstanceInfo().getArraySizes();
-
526 //instnames = smod->getInstanceInfo().getInstanceNames();
-
527 int ndim = smod->getInstanceInfo().getArrayDimension();
-
528
-
529 if (ndim==0) {
-
530 instnames.push_back(basevarname);
-
531 return;
-
532 }
-
533
-
534 // convert the annoying APInt datatype
-
535 int array_dim[ndim];
-
536 for (int i = 0; i<ndim; i++) {
-
537 array_dim[i] = arraysizes[i].getSExtValue();
-
538 }
-
539
-
540 // in order of likelihood
-
541 // only handle up to 3D (front end restriction)
-
542 if (ndim==1) {
-
543 for (int i = 0; i < array_dim[0]; i++) {
-
544 string varname = basevarname;
-
545 varname.append("_" + to_string(i));
-
546 instnames.push_back(varname);
-
547 }
-
548 return;
-
549 }
-
550
-
551 if (ndim == 2) {
-
552 for (int i = 0; i < array_dim[0]; i++)
-
553 for (int j = 0; j < array_dim[1]; j++) {
-
554 string varname = basevarname;
-
555 varname.append("_" + to_string(i)+"_" + to_string(j));
-
556 instnames.push_back(varname);
-
557 }
+
549
+
+
550 void HDLMain::GenerateInstanceNames(ModuleInstance *smod, std::vector<std::string> &instnames) {
+
551 string basevarname = smod->getInstanceInfo().getVarName();
+
552 std::vector<llvm::APInt> arraysizes = smod->getInstanceInfo().getArraySizes();
+
553 //instnames = smod->getInstanceInfo().getInstanceNames();
+
554 int ndim = smod->getInstanceInfo().getArrayDimension();
+
555
+
556 if (ndim==0) {
+
557 instnames.push_back(basevarname);
558 return;
559 }
560
-
561 for (int i = 0; i <= array_dim[0]; i++)
-
562 for (int j = 0; j < array_dim[1]; j++)
-
563 for (int k = 0; k < array_dim[2]; k++) {
-
564 string varname = basevarname;
-
565 varname.append("_" + to_string(1)+"_" + to_string(j-1)+"_" + to_string(k-1));
-
566 instnames.push_back(varname);
-
567 }
-
568 }
+
561 // convert the annoying APInt datatype
+
562 int array_dim[ndim];
+
563 for (int i = 0; i<ndim; i++) {
+
564 array_dim[i] = arraysizes[i].getSExtValue();
+
565 }
+
566
+
567 // in order of likelihood
+
568 // only handle up to 3D (front end restriction)
+
569 if (ndim==1) {
+
570 for (int i = 0; i < array_dim[0]; i++) {
+
571 string varname = basevarname;
+
572 varname.append("_" + to_string(i));
+
573 instnames.push_back(varname);
+
574 }
+
575 return;
+
576 }
+
577
+
578 if (ndim == 2) {
+
579 for (int i = 0; i < array_dim[0]; i++)
+
580 for (int j = 0; j < array_dim[1]; j++) {
+
581 string varname = basevarname;
+
582 varname.append("_" + to_string(i)+"_" + to_string(j));
+
583 instnames.push_back(varname);
+
584 }
+
585 return;
+
586 }
+
587
+
588 for (int i = 0; i <= array_dim[0]; i++)
+
589 for (int j = 0; j < array_dim[1]; j++)
+
590 for (int k = 0; k < array_dim[2]; k++) {
+
591 string varname = basevarname;
+
592 varname.append("_" + to_string(1)+"_" + to_string(j-1)+"_" + to_string(k-1));
+
593 instnames.push_back(varname);
+
594 }
+
595 }
-
569
-
-
570 bool HDLMain::isValidMethod(CXXMethodDecl *method) {
-
571 if ((method->getNameAsString() != (method->getParent()->getNameAsString() )) && // constructor
-
572 (method->getNameAsString() != "~"+ (method->getParent()->getNameAsString() )) && // destructor
-
573 (method->getBody() !=NULL)) // get rid of methods with empty body
-
574 return true;
-
575 else return false;
-
576 }
+
596
+
+
597 bool HDLMain::isValidMethod(CXXMethodDecl *method) {
+
598 if ((method->getNameAsString() != (method->getParent()->getNameAsString() )) && // constructor
+
599 (method->getNameAsString() != "~"+ (method->getParent()->getNameAsString() )) && // destructor
+
600 (method->getBody() !=NULL)) // get rid of methods with empty body
+
601 return true;
+
602 else return false;
+
603 }
-
577
-
- -
579 hNodep &h_info, hdecl_name_map_t &mod_vname_map) {
-
580 //clang::DiagnosticsEngine &diag_engine{getContext().getDiagnostics()};
-
581
-
582 const unsigned cxx_record_id1 = main_diag_engine.getCustomDiagID(clang::DiagnosticsEngine::Remark, "Pointer type not synthesized, '%0' skipped.");
-
583 for (ModuleInstance::portMapType::iterator mit = pmap.begin(); mit != pmap.end();
-
584 mit++) {
-
585 string objname = get<0>(*mit);
-
586
-
587 LLVM_DEBUG(llvm::dbgs() << "object name is " << objname << " and h_op is "
-
588 << h_op << "\n");
-
589
-
590 PortDecl *pd = get<1>(*mit);
-
591 if (pd->isPointerType()) {
-
592 NamedDecl * decl = pd->getAsVarDecl();
-
593 if (decl == NULL) decl = pd->getAsFieldDecl();
-
594 if (decl !=NULL) {
-
595 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(decl->getLocation(), cxx_record_id1)};
-
596 diag_builder << decl->getName();
-
597 return;
-
598 }
-
599 return;
-
600 }
-
601
-
602 Tree<TemplateType> *template_argtp =
-
603 (pd->getTemplateType())->getTemplateArgTreePtr();
-
604
-
605 std::vector<llvm::APInt> array_sizes = pd->getArraySizes();
-
606
-
607 HDLt.SCtype2hcode(objname, template_argtp, &array_sizes, h_op,
-
608 h_info); // passing the sigvarlist
-
609
-
610 // if this is a duplicate name due to inheritance
-
611 // create a new name and add it to the module level vname map
-
612 // this map will be passed to all calls to HDLBody to merge into
-
613 // its vname_map
-
614
-
615 NamedDecl * portdecl = pd->getAsVarDecl();
-
616 if (!portdecl)
-
617 portdecl = pd->getAsFieldDecl();
-
618 if (module_vars.count(objname)) {
-
619 LLVM_DEBUG(llvm::dbgs() << "duplicate object " << objname << "\n");
-
620 if (portdecl) mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
-
621 }
-
622 else {
-
623 module_vars.insert(objname);
-
624 // don't make new names for ports, will break logic in the modinit hcode processing
-
625 if ((h_op == hNode::hdlopsEnum::hVardecl) && (portdecl)) mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
-
626 }
-
627
-
628 // check for initializer
-
629 if (h_op == hNode::hdlopsEnum::hVardecl) {
-
630 VarDecl *vard = pd->getAsVarDecl();
-
631 if (vard) {
-
632 LLVM_DEBUG(llvm::dbgs() << "var decl dump follows\n");
-
633 LLVM_DEBUG(vard->dump(llvm::dbgs()));
-
634 if (vard->hasInit()) {
-
635 APValue *apval = vard->getEvaluatedValue();
-
636 if (apval && apval->isInt()) {
-
637 hNodep h_lit = new hNode((systemc_clang::utils::apint::toString(apval->getInt())),
-
638 hNode::hdlopsEnum::hLiteral);
-
639 hNodep h_varinit = new hNode(hNode::hdlopsEnum::hVarInit);
-
640 h_varinit->child_list.push_back(h_lit);
-
641 (h_info->child_list.back())->child_list.push_back(h_varinit);
-
642 }
-
643 }
-
644 } else {
-
645 FieldDecl *fieldd = pd->getAsFieldDecl();
-
646 if (fieldd) {
-
647 LLVM_DEBUG(llvm::dbgs() << "field decl dump follows\n");
-
648 LLVM_DEBUG(fieldd->dump(llvm::dbgs()));
-
649 Expr* initializer = fieldd->getInClassInitializer();
-
650 if (initializer != NULL) {
-
651 LLVM_DEBUG(llvm::dbgs() << "field initializer dump follows\n");
-
652 LLVM_DEBUG(initializer->dump(llvm::dbgs(), getContext()));
-
653 hNodep h_init = new hNode(hNode::hdlopsEnum::hVarInit);
-
654 if (const CXXConstructExpr *ce = dyn_cast<CXXConstructExpr>(initializer->IgnoreUnlessSpelledInSource())) {
-
655 if (ce->isListInitialization()) {
-
656 for (const auto arg : ce->arguments()) {
-
657 const Expr *ex{arg->IgnoreUnlessSpelledInSource()};
-
658
-
659 if (auto il = dyn_cast<IntegerLiteral>(ex)) {
-
660 llvm::dbgs() << ">> IntegerLiteral value is " << il->getValue() << "\n";
-
661 h_init->append(new hNode(systemc_clang::utils::apint::toString(il->getValue()), hNode::hdlopsEnum::hLiteral));
-
662 }
-
663
-
664 if (auto booll = dyn_cast<CXXBoolLiteralExpr>(ex)) {
-
665 bool val = booll->getValue();
-
666 llvm::dbgs() << ">> CXXBoolLiteralExpr value is " << val << "\n";
-
667 h_init->append(new hNode(to_string(val), hNode::hdlopsEnum::hLiteral));
-
668 (h_info->child_list.back())->child_list.push_back(h_init);
-
669 }
-
670 }
-
671 }
-
672 }
-
673 else {
-
674 xbodyp->Run(initializer, h_init, rnomode);
-
675 (h_info->child_list.back())->child_list.push_back(h_init);
-
676 }
-
677 }
-
678 }
-
679 }
-
680 }
-
681 }
-
682 }
-
-
683
-
- -
685 hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map) {
-
686
-
687 const unsigned cxx_record_id1 = main_diag_engine.getCustomDiagID(clang::DiagnosticsEngine::Remark, "Pointer type not synthesized, '%0' skipped.");
-
688 const unsigned cxx_record_id2 = main_diag_engine.getCustomDiagID(clang::DiagnosticsEngine::Remark, "Class Constructor at module level not supported.");
-
689 for (ModuleInstance::signalMapType::iterator mit = pmap.begin();
-
690 mit != pmap.end(); mit++) {
-
691 string objname = get<0>(*mit);
-
692
-
693 // Unfortunately due to portdecl and sigdecl having incompatible data structures,
-
694 // the same code has to be repeated in both.
-
695 LLVM_DEBUG(llvm::dbgs() << "object name is " << objname << "\n");
-
696
-
697 SignalDecl *pd = get<1>(*mit);
-
698
-
699 if (pd->isPointerType()) {
-
700 NamedDecl * decl = pd->getAsVarDecl();
-
701 if (decl == NULL) decl = pd->getAsFieldDecl();
-
702 if (decl !=NULL) {
-
703 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(decl->getLocation(), cxx_record_id1)};
-
704 diag_builder << decl->getName();
-
705 return;
+
604
+
+ +
606 hNodep &h_info, hdecl_name_map_t &mod_vname_map) {
+
607 //clang::DiagnosticsEngine &diag_engine{getContext().getDiagnostics()};
+
608
+
609 const unsigned cxx_record_id1 = main_diag_engine.getCustomDiagID(clang::DiagnosticsEngine::Remark, "Pointer type not synthesized, '%0' skipped.");
+
610 for (ModuleInstance::portMapType::iterator mit = pmap.begin(); mit != pmap.end();
+
611 mit++) {
+
612 string objname = get<0>(*mit);
+
613
+
614 LLVM_DEBUG(llvm::dbgs() << "object name is " << objname << " and h_op is "
+
615 << h_op << "\n");
+
616
+
617 PortDecl *pd = get<1>(*mit);
+
618 if (pd->isPointerType()) {
+
619 NamedDecl * decl = pd->getAsVarDecl();
+
620 if (decl == NULL) decl = pd->getAsFieldDecl();
+
621 if (decl !=NULL) {
+
622 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(decl->getLocation(), cxx_record_id1)};
+
623 diag_builder << decl->getName();
+
624 return;
+
625 }
+
626 return;
+
627 }
+
628
+
629 Tree<TemplateType> *template_argtp =
+
630 (pd->getTemplateType())->getTemplateArgTreePtr();
+
631
+
632 std::vector<llvm::APInt> array_sizes = pd->getArraySizes();
+
633
+
634 HDLt.SCtype2hcode(objname, template_argtp, &array_sizes, h_op,
+
635 h_info); // passing the sigvarlist
+
636
+
637 // if this is a duplicate name due to inheritance
+
638 // create a new name and add it to the module level vname map
+
639 // this map will be passed to all calls to HDLBody to merge into
+
640 // its vname_map
+
641
+
642 NamedDecl * portdecl = pd->getAsVarDecl();
+
643 if (!portdecl)
+
644 portdecl = pd->getAsFieldDecl();
+
645 if (module_vars.count(objname)) {
+
646 LLVM_DEBUG(llvm::dbgs() << "duplicate object " << objname << "\n");
+
647 if (portdecl) mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
+
648 }
+
649 else {
+
650 module_vars.insert(objname);
+
651 // don't make new names for ports, will break logic in the modinit hcode processing
+
652 if ((h_op == hNode::hdlopsEnum::hVardecl) && (portdecl)) mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
+
653 }
+
654
+
655 // check for initializer
+
656 if (h_op == hNode::hdlopsEnum::hVardecl) {
+
657 VarDecl *vard = pd->getAsVarDecl();
+
658 if (vard) {
+
659 LLVM_DEBUG(llvm::dbgs() << "var decl dump follows\n");
+
660 LLVM_DEBUG(vard->dump(llvm::dbgs()));
+
661 if (vard->hasInit()) {
+
662 APValue *apval = vard->getEvaluatedValue();
+
663 if (apval && apval->isInt()) {
+
664 hNodep h_lit = new hNode((systemc_clang::utils::apint::toString(apval->getInt())),
+
665 hNode::hdlopsEnum::hLiteral);
+
666 hNodep h_varinit = new hNode(hNode::hdlopsEnum::hVarInit);
+
667 h_varinit->child_list.push_back(h_lit);
+
668 (h_info->child_list.back())->child_list.push_back(h_varinit);
+
669 }
+
670 }
+
671 } else {
+
672 FieldDecl *fieldd = pd->getAsFieldDecl();
+
673 if (fieldd) {
+
674 LLVM_DEBUG(llvm::dbgs() << "field decl dump follows\n");
+
675 LLVM_DEBUG(fieldd->dump(llvm::dbgs()));
+
676 Expr* initializer = fieldd->getInClassInitializer();
+
677 if (initializer != NULL) {
+
678 LLVM_DEBUG(llvm::dbgs() << "field initializer dump follows\n");
+
679 LLVM_DEBUG(initializer->dump(llvm::dbgs(), getContext()));
+
680 hNodep h_init = new hNode(hNode::hdlopsEnum::hVarInit);
+
681 if (const CXXConstructExpr *ce = dyn_cast<CXXConstructExpr>(initializer->IgnoreUnlessSpelledInSource())) {
+
682 if (ce->isListInitialization()) {
+
683 for (const auto arg : ce->arguments()) {
+
684 const Expr *ex{arg->IgnoreUnlessSpelledInSource()};
+
685
+
686 if (auto il = dyn_cast<IntegerLiteral>(ex)) {
+
687 llvm::dbgs() << ">> IntegerLiteral value is " << il->getValue() << "\n";
+
688 h_init->append(new hNode(systemc_clang::utils::apint::toString(il->getValue()), hNode::hdlopsEnum::hLiteral));
+
689 }
+
690
+
691 if (auto booll = dyn_cast<CXXBoolLiteralExpr>(ex)) {
+
692 bool val = booll->getValue();
+
693 llvm::dbgs() << ">> CXXBoolLiteralExpr value is " << val << "\n";
+
694 h_init->append(new hNode(to_string(val), hNode::hdlopsEnum::hLiteral));
+
695 (h_info->child_list.back())->child_list.push_back(h_init);
+
696 }
+
697 }
+
698 }
+
699 }
+
700 else {
+
701 xbodyp->Run(initializer, h_init, rnomode);
+
702 (h_info->child_list.back())->child_list.push_back(h_init);
+
703 }
+
704 }
+
705 }
706 }
-
707 return;
-
708 }
-
709
-
710 Tree<TemplateType> *template_argtp =
-
711 (pd->getTemplateTypes())->getTemplateArgTreePtr();
-
712
-
713 int arr_size = pd->getArraySizes().size() > 0
-
714 ? pd->getArraySizes()[0].getLimitedValue()
-
715 : 0;
-
716 std::vector<llvm::APInt> array_sizes = pd->getArraySizes();
-
717 HDLt.SCtype2hcode(objname, template_argtp, &array_sizes, h_op,
-
718 h_info); // passing the sigvarlist
+
707 }
+
708 }
+
709 }
+
+
710
+
+ +
712 hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map) {
+
713
+
714 const unsigned cxx_record_id1 = main_diag_engine.getCustomDiagID(clang::DiagnosticsEngine::Remark, "Pointer type not synthesized, '%0' skipped.");
+
715 const unsigned cxx_record_id2 = main_diag_engine.getCustomDiagID(clang::DiagnosticsEngine::Remark, "Class Constructor at module level not supported.");
+
716 for (ModuleInstance::signalMapType::iterator mit = pmap.begin();
+
717 mit != pmap.end(); mit++) {
+
718 string objname = get<0>(*mit);
719
-
720 // if this is a duplicate name due to inheritance
-
721 // create a new name and add it to the module level vname map
-
722 // this map will be passed to all calls to HDLBody to merge into
-
723 // its vname_map
-
724
-
725 NamedDecl * portdecl = pd->getAsVarDecl();
-
726 if (!portdecl)
-
727 portdecl = pd->getAsFieldDecl();
-
728 else {
-
729 if (((VarDecl *)portdecl)->hasInit()) {
-
730 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(portdecl->getLocation(), cxx_record_id2)};
-
731 diag_builder << portdecl->getName();
-
732 }
-
733 }
-
734 // ValueDecl * vd = (ValueDecl *)portdecl;
-
735 // LLVM_DEBUG(llvm::dbgs() << "Sig type is " << vd->getType().getAsString() << "\n");
-
736 if (module_vars.count(objname)) {
-
737 LLVM_DEBUG(llvm::dbgs() << "duplicate object " << objname << "\n");
-
738 if (portdecl)
-
739 mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
-
740 //string newn = mod_newn.newname();
-
741 //objname+="_var"+newn;
-
742 }
-
743 else {
-
744 module_vars.insert(objname);
-
745 if (portdecl) mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
-
746 }
-
747 }
-
748 }
+
720 // Unfortunately due to portdecl and sigdecl having incompatible data structures,
+
721 // the same code has to be repeated in both.
+
722 LLVM_DEBUG(llvm::dbgs() << "object name is " << objname << "\n");
+
723
+
724 SignalDecl *pd = get<1>(*mit);
+
725
+
726 if (pd->isPointerType()) {
+
727 NamedDecl * decl = pd->getAsVarDecl();
+
728 if (decl == NULL) decl = pd->getAsFieldDecl();
+
729 if (decl !=NULL) {
+
730 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(decl->getLocation(), cxx_record_id1)};
+
731 diag_builder << decl->getName();
+
732 return;
+
733 }
+
734 return;
+
735 }
+
736
+
737 Tree<TemplateType> *template_argtp =
+
738 (pd->getTemplateTypes())->getTemplateArgTreePtr();
+
739
+
740 int arr_size = pd->getArraySizes().size() > 0
+
741 ? pd->getArraySizes()[0].getLimitedValue()
+
742 : 0;
+
743 std::vector<llvm::APInt> array_sizes = pd->getArraySizes();
+
744 HDLt.SCtype2hcode(objname, template_argtp, &array_sizes, h_op,
+
745 h_info); // passing the sigvarlist
+
746
+
747 // if this is a duplicate name due to inheritance
+
748 // create a new name and add it to the module level vname map
+
749 // this map will be passed to all calls to HDLBody to merge into
+
750 // its vname_map
+
751
+
752 NamedDecl * portdecl = pd->getAsVarDecl();
+
753 if (!portdecl)
+
754 portdecl = pd->getAsFieldDecl();
+
755 else {
+
756 if (((VarDecl *)portdecl)->hasInit()) {
+
757 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(portdecl->getLocation(), cxx_record_id2)};
+
758 diag_builder << portdecl->getName();
+
759 }
+
760 }
+
761 // ValueDecl * vd = (ValueDecl *)portdecl;
+
762 // LLVM_DEBUG(llvm::dbgs() << "Sig type is " << vd->getType().getAsString() << "\n");
+
763 if (module_vars.count(objname)) {
+
764 LLVM_DEBUG(llvm::dbgs() << "duplicate object " << objname << "\n");
+
765 if (portdecl)
+
766 mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
+
767 //string newn = mod_newn.newname();
+
768 //objname+="_var"+newn;
+
769 }
+
770 else {
+
771 module_vars.insert(objname);
+
772 if (portdecl) mod_vname_map.add_entry(portdecl, objname, h_info->child_list.back());
+
773 }
+
774 }
+
775 }
-
749
-
- -
751 hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap) {
-
752 // typedef std::map<std::string, ProcessDecl *> processMapType;
-
753 // processMapType getProcessMap();
-
754 // ProcessDecl::getEntryFunction() returns EntryFunctionContainer*
-
755
-
757 //
-
758 //clang::DiagnosticsEngine &diag_engine{getContext().getDiagnostics()};
-
759
-
760 const unsigned cxx_record_id1 = main_diag_engine.getCustomDiagID(
-
761 clang::DiagnosticsEngine::Remark, "non-SC_METHOD/THREAD '%0' skipped.");
-
762
-
763 for (auto const &pm_entry : pm) {
-
764 ProcessDecl *pd{get<1>(pm_entry)};
-
765 EntryFunctionContainer *efc{pd->getEntryFunction()};
-
766 if (efc->getProcessType() == PROCESS_TYPE::METHOD) {
-
767 hNodep h_process = new hNode(efc->getName(), hNode::hdlopsEnum::hProcess);
-
768 LLVM_DEBUG(llvm::dbgs() << "process " << efc->getName() << "\n");
-
769 CXXMethodDecl *emd = efc->getEntryMethod();
-
770 if (emd->hasBody()) {
-
771 hNodep h_body = new hNode(efc->getName(), hNode::hdlopsEnum::hMethod);
-
772 LLVM_DEBUG(llvm::dbgs() << "HDLMain allmethodecls_ size is " << allmethodecls.size() << "\n");
-
773 //HDLBody xmethod(emd, h_body, main_diag_engine, getContext(), mod_vname_map);
-
774 xbodyp->Run(emd->getBody(), h_body, rmethod);
- -
776 h_process->child_list.push_back(h_body);
-
777 h_top->child_list.push_back(h_process);
-
778 } else {
-
779 LLVM_DEBUG(llvm::dbgs() << "Entry Method is null\n");
-
780 }
-
781 } else {
-
782 if ((efc->getProcessType() == PROCESS_TYPE::THREAD) ||
-
783 (efc->getProcessType() == PROCESS_TYPE::CTHREAD)) {
-
784 hNodep h_thread = new hNode(efc->getName(), hNode::hdlopsEnum::hProcess);
-
785 LLVM_DEBUG(llvm::dbgs() << "thread " << efc->getName() << "\n");
-
786 CXXMethodDecl *emd = efc->getEntryMethod();
-
787 if (emd->hasBody()) {
-
788
-
789 auto got = threadresetmap.find(efc->getName());
-
790 // should be an error if there isn't a reset var for this thread
-
791 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(
-
792 (efc->getEntryMethod())->getLocation(),
-
793 main_diag_engine.getCustomDiagID(
-
794 clang::DiagnosticsEngine::Remark, "Reset not found in SC_[C]THREAD."))};
-
795 diag_builder << "\n";
-
796 auto h_resetvarinfo = (got == threadresetmap.end() ? NULL : got->second);
-
797
-
798 // params includes portsigvarlist so thread local vars get promoted to module level
-
799 // have to pass efc to get the reset info
-
800
-
801 HDLThread xthread(efc, h_thread, h_port, main_diag_engine, getContext(), mod_vname_map, allmethodecls, overridden_method_map, h_resetvarinfo );
- -
803 //h_thread->child_list.push_back(h_body);
-
804 h_top->child_list.push_back(h_thread);
-
805 } else {
-
806 LLVM_DEBUG(llvm::dbgs() << "Entry Thread is null\n");
-
807 }
-
808 }
-
809 else {
-
810 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report((efc->getEntryMethod())->getLocation(), cxx_record_id1)};
-
811 diag_builder << efc->getName();
-
812
-
813 LLVM_DEBUG(llvm::dbgs() << "process " << efc->getName()
-
814 << " not SC_METHOD, THREAD, or CTHREAD, skipping\n");
-
815 }
-
816 }
-
817 }
-
818 }
+
776
+
+ +
778 hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap) {
+
779 // typedef std::map<std::string, ProcessDecl *> processMapType;
+
780 // processMapType getProcessMap();
+
781 // ProcessDecl::getEntryFunction() returns EntryFunctionContainer*
+
782
+
784 //
+
785 //clang::DiagnosticsEngine &diag_engine{getContext().getDiagnostics()};
+
786
+
787 const unsigned cxx_record_id1 = main_diag_engine.getCustomDiagID(
+
788 clang::DiagnosticsEngine::Remark, "non-SC_METHOD/THREAD '%0' skipped.");
+
789
+
790 for (auto const &pm_entry : pm) {
+
791 ProcessDecl *pd{get<1>(pm_entry)};
+
792 EntryFunctionContainer *efc{pd->getEntryFunction()};
+
793 if (efc->getProcessType() == PROCESS_TYPE::METHOD) {
+
794 hNodep h_process = new hNode(efc->getName(), hNode::hdlopsEnum::hProcess);
+
795 LLVM_DEBUG(llvm::dbgs() << "process " << efc->getName() << "\n");
+
796 CXXMethodDecl *emd = efc->getEntryMethod();
+
797 if (emd->hasBody()) {
+
798 hNodep h_body = new hNode(efc->getName(), hNode::hdlopsEnum::hMethod);
+
799 LLVM_DEBUG(llvm::dbgs() << "HDLMain allmethodecls_ size is " << allmethodecls.size() << "\n");
+
800 //HDLBody xmethod(emd, h_body, main_diag_engine, getContext(), mod_vname_map);
+
801 xbodyp->Run(emd->getBody(), h_body, rmethod);
+ +
803 h_process->child_list.push_back(h_body);
+
804 h_top->child_list.push_back(h_process);
+
805 } else {
+
806 LLVM_DEBUG(llvm::dbgs() << "Entry Method is null\n");
+
807 }
+
808 } else {
+
809 if ((efc->getProcessType() == PROCESS_TYPE::THREAD) ||
+
810 (efc->getProcessType() == PROCESS_TYPE::CTHREAD)) {
+
811 hNodep h_thread = new hNode(efc->getName(), hNode::hdlopsEnum::hProcess);
+
812 LLVM_DEBUG(llvm::dbgs() << "thread " << efc->getName() << "\n");
+
813 CXXMethodDecl *emd = efc->getEntryMethod();
+
814 if (emd->hasBody()) {
+
815
+
816 // auto got = threadresetmap.find(efc->getName());
+
817 // // should be an error if there isn't a reset var for this thread
+
818 // clang::DiagnosticBuilder diag_builder{main_diag_engine.Report(
+
819 // (efc->getEntryMethod())->getLocation(),
+
820 // main_diag_engine.getCustomDiagID(
+
821 // clang::DiagnosticsEngine::Remark, "Reset not found in SC_[C]THREAD."))};
+
822 // diag_builder << "\n";
+
823 // auto h_resetvarinfo = (got == threadresetmap.end() ? NULL : got->second);
+
824
+
825 // params includes portsigvarlist so thread local vars get promoted to module level
+
826 // have to pass efc to get the reset info
+
827
+
828 HDLThread xthread(efc, h_thread, h_port, main_diag_engine, getContext(), mod_vname_map, allmethodecls, overridden_method_map, NULL);//, h_resetvarinfo );
+ +
830 //h_thread->child_list.push_back(h_body);
+
831 h_top->child_list.push_back(h_thread);
+
832 } else {
+
833 LLVM_DEBUG(llvm::dbgs() << "Entry Thread is null\n");
+
834 }
+
835 }
+
836 else {
+
837 clang::DiagnosticBuilder diag_builder{main_diag_engine.Report((efc->getEntryMethod())->getLocation(), cxx_record_id1)};
+
838 diag_builder << efc->getName();
+
839
+
840 LLVM_DEBUG(llvm::dbgs() << "process " << efc->getName()
+
841 << " not SC_METHOD, THREAD, or CTHREAD, skipping\n");
+
842 }
+
843 }
+
844 }
+
845 }
-
819
-
-
820 void HDLMain::MakeResetMap( resetvar_map_t &threadresetmap, hNodep h_allsenslists)
-
821 {
-
822 // top node is a noop, then child list has the sensitivity lists:
-
823 //hNoop NONAME [
-
824 //hSenslist mc_proc [
-
825 //hSensvar NONAME [
-
826 //hVarref s_fp##valid NOLIST
-
827 //hNoop always NOLIST
-
828 // ]
-
829 // hSensvar NONAME [
-
830 // hVarref s_fp##data NOLIST
-
831 // hNoop always NOLIST
-
832 // ]
-
833 // or
-
834 //hSenslist break_in_for_wait0 [
-
835 // hSensvar ASYNC [
-
836 // hVarref arst NOLIST
-
837 // hLiteral 0 NOLIST
-
838 // ]
-
839 // ]
-
840
-
841 if (h_allsenslists != NULL) {
-
842 for (hNodep h_onesenslist : h_allsenslists->child_list) {
-
843 string threadname = h_onesenslist->getname();
-
844 for ( hNodep h_sensvar : h_onesenslist->child_list) {
-
845 if (h_sensvar->getname() == "NONAME") continue; // non-reset var has null hSensvar name
-
846 threadresetmap[threadname] = h_sensvar; // only one reset per thread
-
847 break;
-
848 }
-
849 }
-
850 }
-
851 }
+
846
+
+
847 void HDLMain::MakeResetMap( resetvar_map_t &threadresetmap, hNodep h_allsenslists)
+
848 {
+
849 // top node is a noop, then child list has the sensitivity lists:
+
850 //hNoop NONAME [
+
851 //hSenslist mc_proc [
+
852 //hSensvar NONAME [
+
853 //hVarref s_fp##valid NOLIST
+
854 //hNoop always NOLIST
+
855 // ]
+
856 // hSensvar NONAME [
+
857 // hVarref s_fp##data NOLIST
+
858 // hNoop always NOLIST
+
859 // ]
+
860 // or
+
861 //hSenslist break_in_for_wait0 [
+
862 // hSensvar ASYNC [
+
863 // hVarref arst NOLIST
+
864 // hLiteral 0 NOLIST
+
865 // ]
+
866 // ]
+
867
+
868 if (h_allsenslists != NULL) {
+
869 for (hNodep h_onesenslist : h_allsenslists->child_list) {
+
870 string threadname = h_onesenslist->getname();
+
871 for ( hNodep h_sensvar : h_onesenslist->child_list) {
+
872 if (h_sensvar->getname() == "NONAME") continue; // non-reset var has null hSensvar name
+
873 threadresetmap[threadname] = h_sensvar; // only one reset per thread
+
874 break;
+
875 }
+
876 }
+
877 }
+
878 }
-
852
-
853 // this is obsolete. It has been supeseded by HDLHnode.cpp
-
854 // due to possibility of for-loops enclosing port bindings
-
- -
856 //systemc_clang::ModuleInstance::portBindingMapType portbindingmap,
-
857 hNodep &h_pbs) {
- -
859 for (auto const &pb : portbindingmap) {
-
860 PortBinding *binding{get<1>(pb)};
-
861 string port_name{binding->getCallerPortName()};
-
862 LLVM_DEBUG(llvm::dbgs() << "SC port binding found Caller port name " << port_name
-
863 << " caller instance name " << binding->getCallerInstanceName()
-
864 << " <==> callee port name " << binding->getCalleePortName() <<
-
865 " callee instance name "
-
866 << binding->getCalleeInstanceName() << "\n");
-
867 if (binding->getCallerArraySubscripts().size() >0)
-
868 {
-
869 LLVM_DEBUG(llvm::dbgs() << "Caller Subscript vector length is " <<
-
870 binding->getCallerArraySubscripts().size() << "\n");
-
871 for (auto subscriptex: binding->getCallerArraySubscripts()) {
-
872 LLVM_DEBUG(subscriptex->dump(llvm::dbgs(), getContext()));
-
873 }
-
874 }
-
875 if (binding->getCalleeArraySubscripts().size()>0) {
-
876 LLVM_DEBUG(llvm::dbgs() << "Callee Subscript vector length is " <<
-
877 binding->getCalleeArraySubscripts().size() << "\n");
-
878 for (auto subscriptex: binding->getCalleeArraySubscripts()) {
-
879 LLVM_DEBUG(subscriptex->dump(llvm::dbgs(), getContext()));
-
880 }
-
881 }
-
882
-
883 hNodep hpb = new hNode(binding->getCallerInstanceName(), hNode::hdlopsEnum::hPortbinding);
-
884 // caller module name
-
885 hNodep hpb_caller = new hNode(port_name, hNode::hdlopsEnum::hVarref);
-
886 if (binding->getCallerPortArraySubscripts().size() >0) {
-
887 hpb_caller->child_list.push_back(new hNode("INDEX", hNode::hdlopsEnum::hLiteral)); //placeholder
-
888 }
-
889 hpb->child_list.push_back(hpb_caller);
-
890 string mapped_name = binding->getCalleeInstanceName();
-
891
-
892 // hpb->child_list.push_back(new hNode(binding->getBoundToName(),
-
893 // hNode::hdlopsEnum::hVarref));
-
894 hNodep hpb_callee = new hNode(mapped_name, hNode::hdlopsEnum::hVarref);
-
895 if (binding->getCalleeArraySubscripts().size() >0) {
-
896 hpb_callee->child_list.push_back(new hNode("INDEX", hNode::hdlopsEnum::hLiteral)); //placeholder
-
897 }
-
898 hpb->child_list.push_back(hpb_callee);
-
899
-
900 h_pbs->child_list.push_back(hpb);
+
879
+
880 // this is obsolete. It has been supeseded by HDLHnode.cpp
+
881 // due to possibility of for-loops enclosing port bindings
+
+ +
883 //systemc_clang::ModuleInstance::portBindingMapType portbindingmap,
+
884 hNodep &h_pbs) {
+ +
886 for (auto const &pb : portbindingmap) {
+
887 PortBinding *binding{get<1>(pb)};
+
888 string port_name{binding->getCallerPortName()};
+
889 LLVM_DEBUG(llvm::dbgs() << "SC port binding found Caller port name " << port_name
+
890 << " caller instance name " << binding->getCallerInstanceName()
+
891 << " <==> callee port name " << binding->getCalleePortName() <<
+
892 " callee instance name "
+
893 << binding->getCalleeInstanceName() << "\n");
+
894 if (binding->getCallerArraySubscripts().size() >0)
+
895 {
+
896 LLVM_DEBUG(llvm::dbgs() << "Caller Subscript vector length is " <<
+
897 binding->getCallerArraySubscripts().size() << "\n");
+
898 for (auto subscriptex: binding->getCallerArraySubscripts()) {
+
899 LLVM_DEBUG(subscriptex->dump(llvm::dbgs(), getContext()));
+
900 }
901 }
-
902 }
+
902 if (binding->getCalleeArraySubscripts().size()>0) {
+
903 LLVM_DEBUG(llvm::dbgs() << "Callee Subscript vector length is " <<
+
904 binding->getCalleeArraySubscripts().size() << "\n");
+
905 for (auto subscriptex: binding->getCalleeArraySubscripts()) {
+
906 LLVM_DEBUG(subscriptex->dump(llvm::dbgs(), getContext()));
+
907 }
+
908 }
+
909
+
910 hNodep hpb = new hNode(binding->getCallerInstanceName(), hNode::hdlopsEnum::hPortbinding);
+
911 // caller module name
+
912 hNodep hpb_caller = new hNode(port_name, hNode::hdlopsEnum::hVarref);
+
913 if (binding->getCallerPortArraySubscripts().size() >0) {
+
914 hpb_caller->child_list.push_back(new hNode("INDEX", hNode::hdlopsEnum::hLiteral)); //placeholder
+
915 }
+
916 hpb->child_list.push_back(hpb_caller);
+
917 string mapped_name = binding->getCalleeInstanceName();
+
918
+
919 // hpb->child_list.push_back(new hNode(binding->getBoundToName(),
+
920 // hNode::hdlopsEnum::hVarref));
+
921 hNodep hpb_callee = new hNode(mapped_name, hNode::hdlopsEnum::hVarref);
+
922 if (binding->getCalleeArraySubscripts().size() >0) {
+
923 hpb_callee->child_list.push_back(new hNode("INDEX", hNode::hdlopsEnum::hLiteral)); //placeholder
+
924 }
+
925 hpb->child_list.push_back(hpb_callee);
+
926
+
927 h_pbs->child_list.push_back(hpb);
+
928 }
+
929 }
-
903
-
904}
+
930
+
931}
@@ -1003,12 +1038,12 @@ -
std::unordered_map< string, QualType > usertype_map_t
Definition HDLType.h:20
+
std::unordered_map< const clang::Type *, string > userrectype_map_t
Definition HDLType.h:21
hNodep addtype(string typname, QualType qtyp, ASTContext &astcontext)
Definition HDLType.cpp:178
void print(llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)
Definition HDLType.h:45
usertype_info_t usertype_info
Definition HDLType.h:44
void SCtype2hcode(string prefix, Tree< TemplateType > *template_argtp, std::vector< llvm::APInt > *arr_sizes, hNode::hdlopsEnum h_op, hNodep &h_info)
Definition HDLType.cpp:29
-
std::unordered_map< const clang::Type *, string > userrectype_map_t
Definition HDLType.h:21
+
std::unordered_map< string, QualType > usertype_map_t
Definition HDLType.h:20
string getname()
Definition hNode.h:169
void set(hdlopsEnum h, string s="")
Definition hNode.h:148
@@ -1037,27 +1072,26 @@
Forward declarations.
const portMapType & getOtherVars()
const clang::CXXRecordDecl * getModuleClassDecl()
+
std::vector< std::tuple< std::string, PortDecl * > > portMapType
const portMapType & getIOPorts()
const portMapType & getOPorts()
+
std::map< std::string, PortBinding * > portBindingMapType
const std::vector< ModuleInstance * > & getNestedModuleInstances() const
const portMapType & getOutputStreamPorts()
+
std::map< std::string, SignalDecl * > signalMapType
const portMapType & getInputStreamPorts()
const portBindingMapType & getPortBindings()
ModuleInstanceType getInstanceInfo()
std::string getInstanceName() const
void dump_base_instances(llvm::raw_ostream &os)
-
std::map< std::string, PortBinding * > portBindingMapType
const clang::CXXConstructorDecl * getConstructorDecl() const
const std::vector< ModuleInstance * > & getBaseInstances()
-
std::map< std::string, ProcessDecl * > processMapType
-
std::map< std::string, SignalDecl * > signalMapType
+
std::map< std::string, ProcessDecl * > processMapType
const processMapType & getProcessMap()
-
std::vector< std::tuple< std::string, PortDecl * > > portMapType
const portMapType & getIPorts()
const signalMapType & getSignals() const
-
const std::string getCallerPortName() const
Definition PortBinding.h:58
clang::VarDecl * getAsVarDecl() const
Definition PortDecl.cpp:83
clang::FieldDecl * getAsFieldDecl() const
Definition PortDecl.cpp:79
@@ -1075,25 +1109,25 @@
hfunc_name_map_t methodecls
Definition HDLBody.h:84
void Run(Stmt *stmt, hNodep &h_top, HDLBodyMode runmode, HDLType *HDLt_userclassesp=NULL)
Definition HDLBody.cpp:75
-
hNodep ProcessCXXConstructorHcode(hNodep xconstructor)
Definition HDLHnode.cpp:541
+
hNodep ProcessCXXConstructorHcode(hNodep xconstructor)
Definition HDLHnode.cpp:410
void GetSensLists(std::vector< hNodep > &hsens)
Definition HDLHnode.h:84
-
void SCport2hcode(ModuleInstance::portMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:578
+
void SCport2hcode(ModuleInstance::portMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:605
clang::DiagnosticsEngine & main_diag_engine
Definition HDLMain.h:58
-
void SCportbindings2hcode(ModuleInstance *mod, hNodep &h_pb)
Definition HDLMain.cpp:855
+
void SCportbindings2hcode(ModuleInstance *mod, hNodep &h_pb)
Definition HDLMain.cpp:882
hmodinst_name_map_t mod_name_map
Definition HDLMain.h:75
-
void SCmodule2hcode(ModuleInstance *mod, hNodep &h_module, llvm::raw_fd_ostream &SCout)
Definition HDLMain.cpp:135
-
void MakeResetMap(resetvar_map_t &threadresetmap, hNodep h_allsenslists)
Definition HDLMain.cpp:820
+
void SCmodule2hcode(ModuleInstance *mod, hNodep &h_module, llvm::raw_fd_ostream &SCout)
Definition HDLMain.cpp:145
+
void MakeResetMap(resetvar_map_t &threadresetmap, hNodep h_allsenslists)
Definition HDLMain.cpp:847
-
void SCsig2hcode(ModuleInstance::signalMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:684
-
void SCproc2hcode(ModuleInstance::processMapType pm, hNodep &h_top, hNodep &h_port, hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap)
Definition HDLMain.cpp:750
+
void SCsig2hcode(ModuleInstance::signalMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:711
+
void SCproc2hcode(ModuleInstance::processMapType pm, hNodep &h_top, hNodep &h_port, hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap)
Definition HDLMain.cpp:777
HDLBody * xbodyp
Definition HDLMain.h:68
-
void GenerateInstanceNames(ModuleInstance *smod, std::vector< std::string > &instnames)
Definition HDLMain.cpp:523
+
void GenerateInstanceNames(ModuleInstance *smod, std::vector< std::string > &instnames)
Definition HDLMain.cpp:550
resetvar_map_t threadresetmap
Definition HDLMain.h:64
std::unordered_set< string > module_vars
Definition HDLMain.h:62
-
bool isValidMethod(CXXMethodDecl *method)
Definition HDLMain.cpp:570
+
bool isValidMethod(CXXMethodDecl *method)
Definition HDLMain.cpp:597
hfunc_name_map_t allmethodecls
Definition HDLMain.h:60
std::string hdl_file_out_
Command line options.
Definition HDLMain.h:78
@@ -1117,11 +1151,11 @@
unsigned int getArrayDimension() const
Return the array dimension, if the module instance is an array. 0 means a single instance k means kD ...
std::vector< llvm::APInt > getArraySizes()
-
+
diff --git a/HDLMain_8h.html b/HDLMain_8h.html index a718f5d4..7b483f4e 100644 --- a/HDLMain_8h.html +++ b/HDLMain_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLMain.h File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -95,92 +108,92 @@
- - - + + + - + - + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - - + + - + - + - + - + - - + + - - + + - + @@ -192,7 +205,7 @@ - + @@ -204,75 +217,75 @@ - + - - + + - + - + - + - - - - - - - - - - - - + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + - - - + + + - + - +
@@ -301,13 +314,14 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 
+
diff --git a/HDLMain_8h__incl.map b/HDLMain_8h__incl.map index ce26c5ca..7fb0f0aa 100644 --- a/HDLMain_8h__incl.map +++ b/HDLMain_8h__incl.map @@ -1,90 +1,90 @@ - - - + + + - + - + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - - + + - + - + - + - + - - + + - - + + - + @@ -96,7 +96,7 @@ - + @@ -108,73 +108,73 @@ - + - - + + - + - + - + - - - - - - - - - - - - + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + - - - + + + - + - + diff --git a/HDLMain_8h__incl.png b/HDLMain_8h__incl.png index 0463422f..3ac4a9ab 100644 Binary files a/HDLMain_8h__incl.png and b/HDLMain_8h__incl.png differ diff --git a/HDLMain_8h_source.html b/HDLMain_8h_source.html index 6ed8cd87..34d197f4 100644 --- a/HDLMain_8h_source.html +++ b/HDLMain_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLMain.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
HDLMain.h
@@ -129,11 +137,11 @@
39 llvm::raw_fd_ostream &SCout);
40 void GenerateInstanceNames(ModuleInstance *smod, std::vector<std::string> &instnames);
41 bool isValidMethod(CXXMethodDecl *method);
- +
43 hNodep &h_info, hdecl_name_map_t &mod_vname_map);
- +
45 hNodep &h_info, hdecl_name_map_t &mod_vname_map);
- +
47 hNodep &h_port,hdecl_name_map_t &mod_vname_map,
49
@@ -317,9 +325,9 @@
Forward declarations.
-
std::map< std::string, ProcessDecl * > processMapType
-
std::map< std::string, SignalDecl * > signalMapType
-
std::vector< std::tuple< std::string, PortDecl * > > portMapType
+
std::vector< std::tuple< std::string, PortDecl * > > portMapType
+
std::map< std::string, SignalDecl * > signalMapType
+
std::map< std::string, ProcessDecl * > processMapType
This is the main consumer class that beings the parsing of SystemC.
clang::ASTContext & getContext() const
@@ -336,23 +344,23 @@
HDLFrontendActionFactory(const std::string &top)
Definition HDLMain.h:109
std::unique_ptr< clang::FrontendAction > create() override
Definition HDLMain.h:116
-
void SCport2hcode(ModuleInstance::portMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:578
+
void SCport2hcode(ModuleInstance::portMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:605
clang::DiagnosticsEngine & main_diag_engine
Definition HDLMain.h:58
-
void SCportbindings2hcode(ModuleInstance *mod, hNodep &h_pb)
Definition HDLMain.cpp:855
+
void SCportbindings2hcode(ModuleInstance *mod, hNodep &h_pb)
Definition HDLMain.cpp:882
hmodinst_name_map_t mod_name_map
Definition HDLMain.h:75
-
void SCmodule2hcode(ModuleInstance *mod, hNodep &h_module, llvm::raw_fd_ostream &SCout)
Definition HDLMain.cpp:135
-
void MakeResetMap(resetvar_map_t &threadresetmap, hNodep h_allsenslists)
Definition HDLMain.cpp:820
+
void SCmodule2hcode(ModuleInstance *mod, hNodep &h_module, llvm::raw_fd_ostream &SCout)
Definition HDLMain.cpp:145
+
void MakeResetMap(resetvar_map_t &threadresetmap, hNodep h_allsenslists)
Definition HDLMain.cpp:847
HDLMain(CompilerInstance &ci, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")
Provide hdl_file_out as argument.
Definition HDLMain.h:28
-
void SCsig2hcode(ModuleInstance::signalMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:684
-
void SCproc2hcode(ModuleInstance::processMapType pm, hNodep &h_top, hNodep &h_port, hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap)
Definition HDLMain.cpp:750
+
void SCsig2hcode(ModuleInstance::signalMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)
Definition HDLMain.cpp:711
+
void SCproc2hcode(ModuleInstance::processMapType pm, hNodep &h_top, hNodep &h_port, hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap)
Definition HDLMain.cpp:777
HDLBody * xbodyp
Definition HDLMain.h:68
-
void GenerateInstanceNames(ModuleInstance *smod, std::vector< std::string > &instnames)
Definition HDLMain.cpp:523
+
void GenerateInstanceNames(ModuleInstance *smod, std::vector< std::string > &instnames)
Definition HDLMain.cpp:550
resetvar_map_t threadresetmap
Definition HDLMain.h:64
std::unordered_set< string > module_vars
Definition HDLMain.h:62
-
bool isValidMethod(CXXMethodDecl *method)
Definition HDLMain.cpp:570
+
bool isValidMethod(CXXMethodDecl *method)
Definition HDLMain.cpp:597
HDLMain(ASTUnit *from_ast, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")
Definition HDLMain.h:31
hfunc_name_map_t allmethodecls
Definition HDLMain.h:60
std::string hdl_file_out_
Command line options.
Definition HDLMain.h:78
@@ -368,7 +376,8 @@
+
diff --git a/HDLThread_8cpp.html b/HDLThread_8cpp.html index 25e28eb7..507d8027 100644 --- a/HDLThread_8cpp.html +++ b/HDLThread_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLThread.cpp File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Namespaces | @@ -89,53 +102,53 @@
- + - - + + - + - + - - - - - + + + + + - - - + + + - + - + - + - - + + @@ -144,43 +157,43 @@ - - - - - - + + + + + + - + - - - + + + - + - + - - - + + + - - + + - + - + @@ -192,15 +205,15 @@ - + - - + + - - - + + + @@ -214,40 +227,40 @@ - + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - + @@ -258,7 +271,7 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 

@@ -289,7 +302,8 @@

+ diff --git a/HDLThread_8cpp__incl.map b/HDLThread_8cpp__incl.map index e5b92401..c238726e 100644 --- a/HDLThread_8cpp__incl.map +++ b/HDLThread_8cpp__incl.map @@ -1,51 +1,51 @@ - + - - + + - + - + - - - - - + + + + + - - - + + + - + - + - + - - + + @@ -54,43 +54,43 @@ - - - - - - + + + + + + - + - - - + + + - + - + - - - + + + - - + + - + - + @@ -102,15 +102,15 @@ - + - - + + - - - + + + @@ -124,40 +124,40 @@ - + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - + diff --git a/HDLThread_8cpp__incl.png b/HDLThread_8cpp__incl.png index a53dbfa3..0c3e7807 100644 Binary files a/HDLThread_8cpp__incl.png and b/HDLThread_8cpp__incl.png differ diff --git a/HDLThread_8cpp_source.html b/HDLThread_8cpp_source.html index 6fab6b90..877b8a14 100644 --- a/HDLThread_8cpp_source.html +++ b/HDLThread_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLThread.cpp Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
HDLThread.cpp
@@ -147,647 +155,674 @@
69 numstates = paths_found.size();
70 int state_num;
71
-
72 for (state_num = 0; state_num < paths_found.size(); state_num++) {
-
73 SGVisited.clear();
-
74 // pathnodevisited keeps track of nodes already traversed in true and false paths.
-
75 // those were already done by ProcessSplitGraphGroup and should be skipped
-
76 pathnodevisited.clear();
-
77 hNodep h_switchcase = new hNode( hNode::hdlopsEnum::hSwitchCase);
-
78 h_switchcase->append(new hNode(std::to_string(state_num), hNode::hdlopsEnum::hLiteral));
-
79 ProcessSplitGraphGroup(paths_found[state_num], 0,
-
80 paths_found[state_num].size(),
-
81 state_num, h_switchcase);
-
82 hthreadblockcstmt->append(h_switchcase);
-
83
-
84 }
-
85
- -
87 hNodep h_switchcase = new hNode( hNode::hdlopsEnum::hSwitchCase);
-
88 h_switchcase->append(new hNode(std::to_string(numstates), hNode::hdlopsEnum::hLiteral));
-
89 GenerateWaitCntUpdate(h_switchcase);
-
90 hthreadblockcstmt->append(h_switchcase);
-
91 }
-
92
-
93 // for all variables (and signals) referenced in thread,
-
94 // we need two copies to hold the variables' value across clock cycles.
-
95 // these variables may be local or global
-
96 hNodep h_shadowvarsp = new hNode(hNode::hdlopsEnum::hPortsigvarlist); // collect referenced variables
-
97
-
98 for (auto const &var: thread_vname_map) {
-
99 if (var.second.referenced) {
-
100 // create a copy, same child_list
-
101 hNodep hs = new hNode(var.second.h_vardeclp->getname(), var.second.h_vardeclp->getopc());
-
102 hs->child_list = var.second.h_vardeclp->child_list;
-
103 h_shadowvarsp->append(hs);
-
104 if (var.second.newn.find(hnode::gvar_prefix) != string::npos) // global object
-
105 string gname = mod_vname_map.find_entry_newn(var.first, true); // set referenced bit in global name map
-
106 }
-
107 }
-
108
-
109 //std::unique_ptr< CFG > threadcfg = clang::CFG::buildCFG(emd, emd->getBody(), &(emd->getASTContext()), clang::CFG::BuildOptions());
-
110 //clang::LangOptions LO = ast_context.getLangOpts();
-
111 //threadcfg->dump(LO, false);
-
112 // HDLBody instance init
-
113 // for (auto const& pt: paths_found) {
-
114 // for (auto const& block : pt) {
-
115 // ProcessBB(*(block->getCFGBlock()));
-
116 // }
-
117 // }
-
118
-
119 // top of main method
-
120 hthreadmainmethod->append(GenerateBinop("=", nextstate_string, state_string, false));
-
121 hthreadmainmethod->append(GenerateBinop("=", nextwaitctr_string, waitctr_string, false));
-
122 hthreadmainmethod->append(GenerateBinop("=", savewaitnextstate_string, waitnextstate_string, false));
-
123 for (hNodep onelocalvar : h_shadowvarsp->child_list) {
-
124 hthreadmainmethod->append(GenerateBinop("=", onelocalvar->getname(), shadowstring+onelocalvar->getname()));
-
125 }
-
126 hthreadmainmethod->append(new hNode(threadname+"_func", hNode::hdlopsEnum::hMethodCall));
-
127 //hthreadmainmethod->append(hthreadblocksp);
-
128
-
129 // generate hnode tree for a function:
-
130 // <function> <ret type none> <cstmt containing switch stmt>
-
131
-
132 hNodep hfunctop = new hNode(threadname+"_func", hNode::hdlopsEnum::hFunction);
-
133 hfunctop->append(new hNode(hNode::hdlopsEnum::hFunctionRetType)); // placeholder: no return value
-
134 hNodep hcstmttmp = new hNode(hNode::hdlopsEnum::hCStmt);
-
135 hcstmttmp->append(hthreadblocksp);
-
136 hfunctop->append(hcstmttmp);
-
137 //hfunctop->append(hthreadblocksp);
-
138 //h_top->append(hthreadblocksp);
-
139 h_top->append(hfunctop);
-
140
-
141 // generate the local variables;
- - - - - - -
148 // generate the state update method
-
149 hNodep hstatemethod = new hNode(threadname+"_state_update", hNode::hdlopsEnum::hMethod);
-
150 GenerateStateUpdate(hstatemethod, h_shadowvarsp);
-
151 h_top->append(hstatemethod);
-
152
-
153 h_top->append(hthreadmainmethod);
-
154
-
155 // remove globals from shadowvars
-
156 h_shadowvarsp->child_list.erase(
-
157 std::remove_if( h_shadowvarsp->child_list.begin(),
-
158 h_shadowvarsp->child_list.end(), [] (hNodep x) {
-
159 return (x->getname().find(hnode::gvar_prefix) != string::npos);}),
-
160 h_shadowvarsp->child_list.end() );
-
161
-
162 // fix up names of local var shadows promoted
-
163 for (hNodep hchild: h_shadowvarsp->child_list) {
-
164 hchild->set(shadowstring+hchild->getname());
-
165 }
-
166
-
167 if (h_shadowvarsp->size()>0) {
-
168 hlocalvarsp->child_list.insert(std::end(hlocalvarsp->child_list),
-
169 std::begin(h_shadowvarsp->child_list),
-
170 std::end(h_shadowvarsp->child_list));
-
171 }
-
172 // add thread local variables to module level list
-
173
-
174 if (hlocalvarsp->size()!=0) h_portsigvarlist->child_list.insert(std::end(h_portsigvarlist->child_list),
-
175 std::begin(hlocalvarsp->child_list),
-
176 std::end(hlocalvarsp->child_list));
-
177 //h_top->append(hthreadblocksp);
-
178
-
179 }
-
-
180
-
- -
182 LLVM_DEBUG(llvm::dbgs() << "[[ Destructor HDLThread ]]\n");
-
183 }
+
72 // in case there are cond exprs in the split graph, get their information
+ + +
75
+
76 for (state_num = 0; state_num < paths_found.size(); state_num++) {
+
77 SGVisited.clear();
+
78 // pathnodevisited keeps track of nodes already traversed in true and false paths.
+
79 // those were already done by ProcessSplitGraphGroup and should be skipped
+
80 pathnodevisited.clear();
+
81 hNodep h_switchcase = new hNode( hNode::hdlopsEnum::hSwitchCase);
+
82 h_switchcase->append(new hNode(std::to_string(state_num), hNode::hdlopsEnum::hLiteral));
+
83 ProcessSplitGraphGroup(paths_found[state_num], 0,
+
84 paths_found[state_num].size(),
+
85 state_num, h_switchcase);
+
86 hthreadblockcstmt->append(h_switchcase);
+
87
+
88 }
+
89
+ +
91 hNodep h_switchcase = new hNode( hNode::hdlopsEnum::hSwitchCase);
+
92 h_switchcase->append(new hNode(std::to_string(numstates), hNode::hdlopsEnum::hLiteral));
+
93 GenerateWaitCntUpdate(h_switchcase);
+
94 hthreadblockcstmt->append(h_switchcase);
+
95 }
+
96
+
97 // for all variables (and signals) referenced in thread,
+
98 // we need two copies to hold the variables' value across clock cycles.
+
99 // these variables may be local or global
+
100 hNodep h_shadowvarsp = new hNode(hNode::hdlopsEnum::hPortsigvarlist); // collect referenced variables
+
101
+
102 for (auto const &var: thread_vname_map) {
+
103 if (var.second.referenced) {
+
104 // create a copy, same child_list
+
105 hNodep hs = new hNode(var.second.h_vardeclp->getname(), var.second.h_vardeclp->getopc());
+
106 hs->child_list = var.second.h_vardeclp->child_list;
+
107 h_shadowvarsp->append(hs);
+
108 if (var.second.newn.find(hnode::gvar_prefix) != string::npos) // global object
+
109 string gname = mod_vname_map.find_entry_newn(var.first, true); // set referenced bit in global name map
+
110 }
+
111 }
+
112
+
113 //std::unique_ptr< CFG > threadcfg = clang::CFG::buildCFG(emd, emd->getBody(), &(emd->getASTContext()), clang::CFG::BuildOptions());
+
114 //clang::LangOptions LO = ast_context.getLangOpts();
+
115 //threadcfg->dump(LO, false);
+
116 // HDLBody instance init
+
117 // for (auto const& pt: paths_found) {
+
118 // for (auto const& block : pt) {
+
119 // ProcessBB(*(block->getCFGBlock()));
+
120 // }
+
121 // }
+
122
+
123 // top of main method
+
124 hthreadmainmethod->append(GenerateBinop("=", nextstate_string, state_string, false));
+
125 hthreadmainmethod->append(GenerateBinop("=", nextwaitctr_string, waitctr_string, false));
+
126 hthreadmainmethod->append(GenerateBinop("=", savewaitnextstate_string, waitnextstate_string, false));
+
127 for (hNodep onelocalvar : h_shadowvarsp->child_list) {
+
128 hthreadmainmethod->append(GenerateBinop("=", onelocalvar->getname(), shadowstring+onelocalvar->getname()));
+
129 }
+
130 hthreadmainmethod->append(new hNode(threadname+"_func", hNode::hdlopsEnum::hMethodCall));
+
131 //hthreadmainmethod->append(hthreadblocksp);
+
132
+
133 // generate hnode tree for a function:
+
134 // <function> <ret type none> <cstmt containing switch stmt>
+
135
+
136 hNodep hfunctop = new hNode(threadname+"_func", hNode::hdlopsEnum::hFunction);
+
137 hfunctop->append(new hNode(hNode::hdlopsEnum::hFunctionRetType)); // placeholder: no return value
+
138 hNodep hcstmttmp = new hNode(hNode::hdlopsEnum::hCStmt);
+
139 hcstmttmp->append(hthreadblocksp);
+
140 hfunctop->append(hcstmttmp);
+
141 //hfunctop->append(hthreadblocksp);
+
142 //h_top->append(hthreadblocksp);
+
143 h_top->append(hfunctop);
+
144
+
145 // generate the local variables;
+ + + + + + +
152 // generate the state update method
+
153 hNodep hstatemethod = new hNode(threadname+"_state_update", hNode::hdlopsEnum::hMethod);
+
154 GenerateStateUpdate(hstatemethod, h_shadowvarsp);
+
155 h_top->append(hstatemethod);
+
156
+
157 h_top->append(hthreadmainmethod);
+
158
+
159 // remove globals from shadowvars
+
160 h_shadowvarsp->child_list.erase(
+
161 std::remove_if( h_shadowvarsp->child_list.begin(),
+
162 h_shadowvarsp->child_list.end(), [] (hNodep x) {
+
163 return (x->getname().find(hnode::gvar_prefix) != string::npos);}),
+
164 h_shadowvarsp->child_list.end() );
+
165
+
166 // fix up names of local var shadows promoted
+
167 for (hNodep hchild: h_shadowvarsp->child_list) {
+
168 hchild->set(shadowstring+hchild->getname());
+
169 }
+
170
+
171 if (h_shadowvarsp->size()>0) {
+
172 hlocalvarsp->child_list.insert(std::end(hlocalvarsp->child_list),
+
173 std::begin(h_shadowvarsp->child_list),
+
174 std::end(h_shadowvarsp->child_list));
+
175 }
+
176 // add thread local variables to module level list
+
177
+
178 if (hlocalvarsp->size()!=0) h_portsigvarlist->child_list.insert(std::end(h_portsigvarlist->child_list),
+
179 std::begin(hlocalvarsp->child_list),
+
180 std::end(hlocalvarsp->child_list));
+
181 //h_top->append(hthreadblocksp);
+
182
+
183 }
184
-
185 bool HDLThread::isContinueorBreak(const Stmt *S) {
-
186 if (isa<BreakStmt>(S)) return true;
-
187 if (isa<ContinueStmt>(S)) return true;
-
188 return false;
-
189 }
+ +
186 LLVM_DEBUG(llvm::dbgs() << "[[ Destructor HDLThread ]]\n");
+
187 }
-
190
-
- -
192 return ((hp->child_list.size() >=1) and ((hp->child_list.back())->getopc() == hNode::hdlopsEnum::hWait));
+
188
+
+
189 bool HDLThread::isContinueorBreak(const Stmt *S) {
+
190 if (isa<BreakStmt>(S)) return true;
+
191 if (isa<ContinueStmt>(S)) return true;
+
192 return false;
193 }
194
-
195 void HDLThread::CheckVardecls(hNodep &hp, string &blockid) {
-
196 int varcnt = 0;
-
197 for (auto oneop : hp->child_list) {
-
198 if ((oneop != NULL) && ((oneop->getopc() == hNode::hdlopsEnum::hVardecl) || (oneop->getopc() == hNode::hdlopsEnum::hSigdecl))) {
-
199 LLVM_DEBUG(llvm::dbgs() << "Detected vardecl for SG Block ID " << blockid << "\n");
-
200 if (SGVisited[blockid] == 1) { hlocalvarsp->append(oneop);
-
201 }
-
202 else {
-
203 LLVM_DEBUG(llvm::dbgs() << "SGVisited for blockid " << SGVisited[blockid]
-
204 << " " << blockid << "\n");
+ +
196 return ((hp->child_list.size() >=1) and ((hp->child_list.back())->getopc() == hNode::hdlopsEnum::hWait));
+
197 }
+
+
198
+
+
199 void HDLThread::CheckVardecls(hNodep &hp, string &blockid) {
+
200 int varcnt = 0;
+
201 for (auto oneop : hp->child_list) {
+
202 if ((oneop != NULL) && ((oneop->getopc() == hNode::hdlopsEnum::hVardecl) || (oneop->getopc() == hNode::hdlopsEnum::hSigdecl))) {
+
203 LLVM_DEBUG(llvm::dbgs() << "Detected vardecl for SG Block ID " << blockid << "\n");
+
204 if (SGVisited[blockid] == 1) { hlocalvarsp->append(oneop);
205 }
-
206 varcnt += 1;
-
207 }
-
208 else break; // all vardecls are first in the list of ops
+
206 else {
+
207 LLVM_DEBUG(llvm::dbgs() << "SGVisited for blockid " << SGVisited[blockid]
+
208 << " " << blockid << "\n");
209 }
-
210 if (varcnt >=1) {
-
211 hp->child_list.erase(hp->child_list.begin(), hp->child_list.begin()+varcnt);
-
212 if (SGVisited[blockid] == 1) {
- -
214 }
-
215 }
-
216 }
+
210 varcnt += 1;
+
211 }
+
212 else break; // all vardecls are first in the list of ops
+
213 }
+
214 if (varcnt >=1) {
+
215 hp->child_list.erase(hp->child_list.begin(), hp->child_list.begin()+varcnt);
+
216 if (SGVisited[blockid] == 1) {
+ +
218 }
+
219 }
+
220 }
-
217
-
-
218 void HDLThread::ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp) {
-
223
-
224 // adapted from ProcessVarDecl in HDLBody.cpp
-
225 for (auto *DI : declstmt->decls()) {
-
226 if (DI) {
-
227 auto *vardecl = dyn_cast<VarDecl>(DI);
-
228 if (!vardecl) continue;
-
229 if ( Expr *declinit = vardecl->getInit()) {
-
230 Stmt * cdeclinit = declinit;
-
231 // need to generated initializer code
-
232 //xtbodyp->Run(const_cast<Stmt *>((const Stmt *)declinit), hinitcode, rthread);
-
233 hNodep varinitp = new hNode(hNode::hdlopsEnum::hVarAssign);
-
234 varinitp->append(new hNode(thread_vname_map.find_entry_newn(vardecl, true),
-
235 hNode::hdlopsEnum::hVarref)); // set referenced bit
-
236 xtbodyp->Run(cdeclinit, varinitp, rthread);
-
237 htmp->append(varinitp);
-
238
-
239 }
-
240 }
-
241 }
-
242 }
+
221
+
+
222 void HDLThread::ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp) {
+
227
+
228 // adapted from ProcessVarDecl in HDLBody.cpp
+
229 for (auto *DI : declstmt->decls()) {
+
230 if (DI) {
+
231 auto *vardecl = dyn_cast<VarDecl>(DI);
+
232 if (!vardecl) continue;
+
233 if ( Expr *declinit = vardecl->getInit()) {
+
234 Stmt * cdeclinit = declinit;
+
235 // need to generated initializer code
+
236 //xtbodyp->Run(const_cast<Stmt *>((const Stmt *)declinit), hinitcode, rthread);
+
237 hNodep varinitp = new hNode(hNode::hdlopsEnum::hVarAssign);
+
238 varinitp->append(new hNode(thread_vname_map.find_entry_newn(vardecl, true),
+
239 hNode::hdlopsEnum::hVarref)); // set referenced bit
+
240 xtbodyp->Run(cdeclinit, varinitp, rthread);
+
241 htmp->append(varinitp);
+
242
+
243 }
+
244 }
+
245 }
+
246 }
-
243
-
-
244 void HDLThread::MarkStatements(const Stmt *S, llvm::SmallDenseMap<const Stmt*, bool> &Map) {
-
245 if (S != NULL) {
-
246 Map[S] = true;
-
247 for (const Stmt *K : S->children())
-
248 MarkStatements(K, Map);
-
249 }
-
250 }
+
247
+
+
248 void HDLThread::MarkStatements(const Stmt *S, llvm::SmallDenseMap<const Stmt*, bool> &Map) {
+
249 if (S != NULL) {
+
250 Map[S] = true;
+
251 for (const Stmt *K : S->children())
+
252 MarkStatements(K, Map);
+
253 }
+
254 }
-
251
-
252 // this version is no longer being used
-
-
253 void HDLThread::FindStatements(const CFGBlock &B, std::vector<const Stmt *> &SS) {
-
254 llvm::SmallDenseMap<const Stmt*, bool> Map;
255
-
256 // Mark subexpressions of each element in the block.
-
257 for (auto I = B.begin(); I != B.end(); ++I) {
-
258 CFGElement E = *I;
-
259 if (auto SE = E.getAs<CFGStmt>()) {
-
260 const Stmt *S = SE->getStmt();
-
261 for (const Stmt *K : S->children())
-
262 MarkStatements(K, Map);
-
263 }
-
264 }
-
265 // mark subexpressions coming from terminator statement
-
266 if (B.getTerminator().isValid()) {
-
267 const Stmt *S = B.getTerminatorStmt();
-
268 for (const Stmt *K : S->children())
-
269 MarkStatements(K, Map);
-
270 }
-
271 // Any expressions not in Map are top level statements.
-
272 for (auto I = B.begin(); I != B.end(); ++I) {
-
273 CFGElement E = *I;
-
274 if (auto SE = E.getAs<CFGStmt>()) {
-
275 const Stmt *S = SE->getStmt();
-
276 if (Map.find(S) == Map.end()) {
-
277 SS.push_back(S);
-
278 }
-
279 }
-
280 }
-
281 }
+
256 // this version is no longer being used
+
+
257 void HDLThread::FindStatements(const CFGBlock &B, std::vector<const Stmt *> &SS) {
+
258 llvm::SmallDenseMap<const Stmt*, bool> Map;
+
259
+
260 // Mark subexpressions of each element in the block.
+
261 for (auto I = B.begin(); I != B.end(); ++I) {
+
262 CFGElement E = *I;
+
263 if (auto SE = E.getAs<CFGStmt>()) {
+
264 const Stmt *S = SE->getStmt();
+
265 for (const Stmt *K : S->children())
+
266 MarkStatements(K, Map);
+
267 }
+
268 }
+
269 // mark subexpressions coming from terminator statement
+
270 if (B.getTerminator().isValid()) {
+
271 const Stmt *S = B.getTerminatorStmt();
+
272 for (const Stmt *K : S->children())
+
273 MarkStatements(K, Map);
+
274 }
+
275 // Any expressions not in Map are top level statements.
+
276 for (auto I = B.begin(); I != B.end(); ++I) {
+
277 CFGElement E = *I;
+
278 if (auto SE = E.getAs<CFGStmt>()) {
+
279 const Stmt *S = SE->getStmt();
+
280 if (Map.find(S) == Map.end()) {
+
281 SS.push_back(S);
+
282 }
+
283 }
+
284 }
+
285 }
-
282
-
-
283 void HDLThread::FindStatements(const SplitCFGBlock *B, std::vector<const Stmt *> &SS) {
-
284 llvm::SmallDenseMap<const Stmt*, bool> Map;
-
285
-
286 // Mark subexpressions of each element in the block.
-
287 for (auto I : B->getElements()) {
-
288 CFGElement E = *I;
-
289 if (auto SE = E.getAs<CFGStmt>()) {
-
290 const Stmt *S = SE->getStmt();
-
291 for (const Stmt *K : S->children())
-
292 MarkStatements(K, Map);
-
293 }
-
294 }
-
295 // // mark subexpressions coming from terminator statement
-
296 if ((B->getCFGBlock())->getTerminator().isValid()) {
-
297 const Stmt *S = (B->getCFGBlock())->getTerminatorStmt();
-
298 for (const Stmt *K : S->children()) {
-
299 MarkStatements(K, Map);
-
300 }
-
301 //if (auto S1 = dyn_cast<WhileStmt>(S)
-
302 // if (Map.find(S) == Map.end()) {
-
303 // SS.push_back(S);
-
304 // }
-
305 LLVM_DEBUG(llvm::dbgs() << "Stmt contains terminator\n");
-
306 //LLVM_DEBUG(S->dump(llvm::dbgs(), ast_context_));
-
307 }
-
308
-
309 // Any expressions not in Map are top level statements.
-
310 for (auto I : B->getElements()) {
-
311 CFGElement E = *I;
-
312 if (auto SE = E.getAs<CFGStmt>()) {
-
313 const Stmt *S = SE->getStmt();
-
314 if (Map.find(S) == Map.end()) {
-
315 SS.push_back(S);
-
316 }
-
317 }
-
318 }
-
319 }
+
286
+
+
287 void HDLThread::FindStatements(const SplitCFGBlock *B, std::vector<const Stmt *> &SS) {
+
288 llvm::SmallDenseMap<const Stmt*, bool> Map;
+
289
+
290 // Mark subexpressions of each element in the block.
+
291 for (auto I : B->getElements()) {
+
292 CFGElement E = *I;
+
293 if (auto SE = E.getAs<CFGStmt>()) {
+
294 const Stmt *S = SE->getStmt();
+
295 for (const Stmt *K : S->children())
+
296 MarkStatements(K, Map);
+
297 }
+
298 }
+
299 // // mark subexpressions coming from terminator statement
+
300 if ((B->getCFGBlock())->getTerminator().isValid()) {
+
301 const Stmt *S = (B->getCFGBlock())->getTerminatorStmt();
+
302 for (const Stmt *K : S->children()) {
+
303 MarkStatements(K, Map);
+
304 }
+
305 //if (auto S1 = dyn_cast<WhileStmt>(S)
+
306 // if (Map.find(S) == Map.end()) {
+
307 // SS.push_back(S);
+
308 // }
+
309 LLVM_DEBUG(llvm::dbgs() << "Stmt contains terminator\n");
+
310 //LLVM_DEBUG(S->dump(llvm::dbgs(), ast_context_));
+
311 }
+
312
+
313 // Any expressions not in Map are top level statements.
+
314 for (auto I : B->getElements()) {
+
315 CFGElement E = *I;
+
316 if (auto SE = E.getAs<CFGStmt>()) {
+
317 const Stmt *S = SE->getStmt();
+
318 if (Map.find(S) == Map.end()) {
+
319 SS.push_back(S);
+
320 }
+
321 }
+
322 }
+
323 }
-
320
-
-
321 int HDLThread::GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num) {
-
322 auto path_info_{scfg.getAllPathInfo()};
-
323 auto sblock{pt[cond_node_ix].first};
-
324 auto supp_info{pt[cond_node_ix].second};
-
325 auto found_it{path_info_[state_num].find(supp_info.split_block_)};
-
326 int flen = 0;
-
327 LLVM_DEBUG(llvm::dbgs() << "Getting false path length of ");
-
328 LLVM_DEBUG(llvm::dbgs() << "(" << supp_info.path_idx_ << "," << sblock->getBlockID()
-
329 << "," << supp_info.false_idx_);
-
330 if (found_it != path_info_[state_num].end()) {
-
331 flen = found_it->second.getFalsePath().size();
-
332 }
-
333 LLVM_DEBUG(llvm::dbgs() << " |" << flen << "|");
-
334 LLVM_DEBUG(llvm::dbgs() << ")\n");
-
335 return flen;
-
336 }
+
324
+
+
325 int HDLThread::GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num) {
+
326 auto path_info_{scfg.getAllPathInfo()};
+
327 auto sblock{pt[cond_node_ix].first};
+
328 auto supp_info{pt[cond_node_ix].second};
+
329 auto found_it{path_info_[state_num].find(supp_info.split_block_)};
+
330 int flen = 0;
+
331 LLVM_DEBUG(llvm::dbgs() << "Getting false path length of ");
+
332 LLVM_DEBUG(llvm::dbgs() << "(" << supp_info.path_idx_ << "," << sblock->getBlockID()
+
333 << "," << supp_info.false_idx_);
+
334 if (found_it != path_info_[state_num].end()) {
+
335 flen = found_it->second.getFalsePath().size();
+
336 }
+
337 LLVM_DEBUG(llvm::dbgs() << " |" << flen << "|");
+
338 LLVM_DEBUG(llvm::dbgs() << ")\n");
+
339 return flen;
+
340 }
-
337
-
338
-
- -
340 // SplitCFGPath is llvm::SmallVector<std::pair<const SplitCFGBlock*, SplitCFGPathInfo>>
-
341 int startix, int num_ele,
-
342 int state_num, hNodep h_switchcase)
-
343 {
-
344
-
345 LLVM_DEBUG(llvm::dbgs() << "Split Graph Group startix, num_ele, state_num are " << startix << " " << num_ele << " " << state_num << "\n");
-
346
-
347 int pvix = startix;
-
348 while ( pvix<startix+num_ele) {
-
349 if (pathnodevisited.find(pvix) == pathnodevisited.end()) { //haven't visited
-
350 pathnodevisited.insert(pvix);
-
351 ProcessSplitGraphBlock(pt, pvix, state_num, h_switchcase);
-
352 pvix++;
-
353 }
-
354 else pvix++;
-
355 }
-
356 }
+
341
+
342
+
+ +
344 // SplitCFGPath is llvm::SmallVector<std::pair<const SplitCFGBlock*, SplitCFGPathInfo>>
+
345 int startix, int num_ele,
+
346 int state_num, hNodep h_switchcase)
+
347 {
+
348
+
349 LLVM_DEBUG(llvm::dbgs() << "Split Graph Group startix, num_ele, state_num are " << startix << " " << num_ele << " " << state_num << "\n");
+
350
+
351 int pvix = startix;
+
352 while ( pvix<startix+num_ele) {
+
353 if (pathnodevisited.find(pvix) == pathnodevisited.end()) { //haven't visited
+
354 pathnodevisited.insert(pvix);
+
355 ProcessSplitGraphBlock(pt, pvix, state_num, h_switchcase);
+
356 pvix++;
+
357 }
+
358 else pvix++;
+
359 }
+
360 }
-
357
-
358 // SplitCFGPath = llvm::SmallVector<SplitCFGPathPair>;
-
359 // SplitCFGPathPair = std::pair<const SplitCFGBlock *, SupplementaryInfo>;
-
- -
361 int thisix,
-
362 int state_num, hNodep h_switchcase)
-
363 {
-
364 const SplitCFGBlock *sgb{pt[thisix].first};
-
365 bool iswait = false;
-
366 if (sgb != NULL) {
-
367 string blkid = "S" + std::to_string(state_num) + "_" + std::to_string(sgb->getBlockID());
-
368
-
369 if (SGVisited.find(blkid) == SGVisited.end()) {
-
370 SGVisited[blkid] = 1;
-
371 }
-
372 else {
-
373 SGVisited[blkid] += 1;
-
374 }
-
375 //else return; // already visited this block
-
376
-
377 LLVM_DEBUG(llvm::dbgs() << "Split Graph num ele, blockid are " << sgb->getNumOfElements() << " " << blkid << "\n");
-
378 if ((sgb->getCFGBlock())->getTerminator().isValid() && sgb->hasWait()) {
-
379 LLVM_DEBUG(llvm::dbgs() << "found valid terminator with hasWait(), num ele in block is " << sgb->getNumOfElements() << "\n");
-
380 }
-
381 if ((sgb->getCFGBlock())->getTerminator().isValid() && !sgb->hasWait()){ // artifact of splitting graph is that wait retains terminator of cfg block
-
382 if (isContinueorBreak(sgb->getCFGBlock()->getTerminatorStmt()) && (sgb->getNumOfElements() ==0)) {
-
383 LLVM_DEBUG(llvm::dbgs() << "Terminator for block is singleton continue or break\n");
-
384 //if (h_switchcase->child_list.size()>0) h_switchcase->append(new hNode(hNode::hdlopsEnum::hReturnStmt));
-
385 return;
-
386 }
-
387
-
388 const Stmt * S = sgb->getCFGBlock()->getTerminatorStmt();
-
389 hNodep hcondstmt = new hNode(hNode::hdlopsEnum::hIfStmt);
-
390 if (isContinueorBreak(sgb->getCFGBlock()->getTerminatorStmt())) {
-
391 // continue or break as part of a block
-
392 hcondstmt->set(hNode::hdlopsEnum::hCStmt);
-
393 }
-
394
-
395 if (const WhileStmt *S1 = dyn_cast<WhileStmt> (S)) {
-
396 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " <<blkid << " is a while stmt\n");
-
397 xtbodyp->Run((Stmt *)S1->getCond(), hcondstmt, rthread);
-
398 }
-
399 else if (const DoStmt *S1 = dyn_cast<DoStmt> (S)) {
-
400 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is a do stmt\n");
+
361
+
362 // SplitCFGPath = llvm::SmallVector<SplitCFGPathPair>;
+
363 // SplitCFGPathPair = std::pair<const SplitCFGBlock *, SupplementaryInfo>;
+
+ +
365 int thisix,
+
366 int state_num, hNodep h_switchcase)
+
367 {
+
368 const SplitCFGBlock *sgb{pt[thisix].first};
+
369 bool iswait = false;
+
370 if (sgb != NULL) {
+
371 string blkid = "S" + std::to_string(state_num) + "_" + std::to_string(sgb->getBlockID());
+
372
+
373 if (SGVisited.find(blkid) == SGVisited.end()) {
+
374 SGVisited[blkid] = 1;
+
375 }
+
376 else {
+
377 SGVisited[blkid] += 1;
+
378 }
+
379 //else return; // already visited this block
+
380
+
381 LLVM_DEBUG(llvm::dbgs() << "Split Graph num ele, blockid are " << sgb->getNumOfElements() << " " << blkid << "\n");
+
382 if ((sgb->getCFGBlock())->getTerminator().isValid() && sgb->hasWait()) {
+
383 LLVM_DEBUG(llvm::dbgs() << "found valid terminator with hasWait(), num ele in block is " << sgb->getNumOfElements() << "\n");
+
384 }
+
385 if ((sgb->getCFGBlock())->getTerminator().isValid() && !sgb->hasWait()){ // artifact of splitting graph is that wait retains terminator of cfg block
+
386 if (isContinueorBreak(sgb->getCFGBlock()->getTerminatorStmt()) && (sgb->getNumOfElements() ==0)) {
+
387 LLVM_DEBUG(llvm::dbgs() << "Terminator for block is singleton continue or break\n");
+
388 //if (h_switchcase->child_list.size()>0) h_switchcase->append(new hNode(hNode::hdlopsEnum::hReturnStmt));
+
389 return;
+
390 }
+
391
+
392 const Stmt * S = sgb->getCFGBlock()->getTerminatorStmt();
+
393 hNodep hcondstmt = new hNode(hNode::hdlopsEnum::hIfStmt);
+
394 if (isContinueorBreak(sgb->getCFGBlock()->getTerminatorStmt())) {
+
395 // continue or break as part of a block
+
396 hcondstmt->set(hNode::hdlopsEnum::hCStmt);
+
397 }
+
398
+
399 if (const WhileStmt *S1 = dyn_cast<WhileStmt> (S)) {
+
400 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " <<blkid << " is a while stmt\n");
401 xtbodyp->Run((Stmt *)S1->getCond(), hcondstmt, rthread);
402 }
-
403 else if (const ForStmt *S1 = dyn_cast<ForStmt> (S)) {
-
404 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is a for stmt\n");
-
405 // if (!sgb->hasTerminatorWait()) {
-
406 // LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " doesn't have a wait()\n");
-
407 // LLVM_DEBUG(S1->dump(llvm::dbgs(), ast_context_));
-
408 // xtbodyp->Run((Stmt *)S1, h_switchcase, rmethod);
-
409 // for (int i = thisix+1; i < pt[thisix].second.getFalseId(); i++) {
-
410 // // need to mark all the true branch nodes in path vector as visited.
-
411 // updatepnvisited(i);
-
412 // }
-
413 // return;
-
414 // }
-
415 xtbodyp->Run((Stmt *)S1->getCond(), hcondstmt, rthread);
-
416 }
-
417 else if (const IfStmt *S1 = dyn_cast<IfStmt> (S)) {
-
418 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is an if stmt\n");
+
403 else if (const DoStmt *S1 = dyn_cast<DoStmt> (S)) {
+
404 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is a do stmt\n");
+
405 xtbodyp->Run((Stmt *)S1->getCond(), hcondstmt, rthread);
+
406 }
+
407 else if (const ForStmt *S1 = dyn_cast<ForStmt> (S)) {
+
408 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is a for stmt\n");
+
409 // if (!sgb->hasTerminatorWait()) {
+
410 // LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " doesn't have a wait()\n");
+
411 // LLVM_DEBUG(S1->dump(llvm::dbgs(), ast_context_));
+
412 // xtbodyp->Run((Stmt *)S1, h_switchcase, rmethod);
+
413 // for (int i = thisix+1; i < pt[thisix].second.getFalseId(); i++) {
+
414 // // need to mark all the true branch nodes in path vector as visited.
+
415 // updatepnvisited(i);
+
416 // }
+
417 // return;
+
418 // }
419 xtbodyp->Run((Stmt *)S1->getCond(), hcondstmt, rthread);
420 }
-
421 else if (const ConditionalOperator *S1 = dyn_cast<ConditionalOperator>(S)) {
-
422 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is conditional operator, skipping\n");
-
423 // below code doesn't work due to skipping too many nodes in true and false paths
-
424 // int flsix = pt[thisix].second.getFalseId();
-
425 // for (int i = thisix+1; i <flsix; i++) {
-
426 // // need to mark all the true branch nodes in path vector as visited.
-
427 // updatepnvisited(i);
-
428 // }
-
429 // for (int i =flsix; i < flsix+GetFalseLength(pt, thisix); i++) {
-
430 // // need to mark all the false branch nodes in path vector as visited.
-
431 // updatepnvisited(i);
-
432 // }
-
433 return;
-
434 }
-
435 else {
-
436 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " not handled, is as follows\n");
-
437 LLVM_DEBUG(sgb->getCFGBlock()->getTerminatorStmt()->dump(llvm::dbgs(), ast_context_));
-
438 }
-
439
-
440 // process true branch
-
441 hNodep if1 = new hNode(hNode::hdlopsEnum::hCStmt);
-
442 hNodep if2 = NULL;
-
443 int flseix = pt[thisix].second.getFalseId();
-
444 ProcessSplitGraphGroup(pt, thisix+1, flseix - (thisix+1), state_num, if1);
-
445 //ProcessSplitGraphGroup(pt, thisix+1, flseix_vec[thisix].first - (thisix+1),
-
446 //state_num,if1);
-
447 if (if1->size() > 0) hcondstmt->append(if1);
-
448 if (flseix != 0) {// has false branch
-
449 if2 = new hNode(hNode::hdlopsEnum::hCStmt);
-
450 ProcessSplitGraphGroup(pt, flseix, GetFalseLength(pt, thisix, state_num),
-
451 state_num, if2);
-
452 }
-
453
-
454 if (if2!=NULL && if2->size() > 0) {
-
455 if (if1->size()==0) {
-
456 if1->set(hNode::hdlopsEnum::hNoop);
-
457 hcondstmt->append(if1);
-
458 }
-
459 hcondstmt->append(if2);
+
421 else if (const IfStmt *S1 = dyn_cast<IfStmt> (S)) {
+
422 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is an if stmt\n");
+
423 xtbodyp->Run((Stmt *)S1->getCond(), hcondstmt, rthread);
+
424 }
+
425 else if (const ConditionalOperator *S1 = dyn_cast<ConditionalOperator>(S)) {
+
426 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " is conditional operator, skipping (not?)\n");
+
427 LLVM_DEBUG(sgb->getCFGBlock()->getTerminatorStmt()->dump(llvm::dbgs(), ast_context_));
+
428 //std::map<SplitCFGBlock*,SplitCFGBlock*> condmap = scfg.getConfluenceBlocks();
+
429 const SplitCFGBlock * condcfgb;
+
430 auto conflmapit =condexp_confluence_block_map.find((const_cast<SplitCFGBlock*>(sgb)));
+
431 if (conflmapit != condexp_confluence_block_map.end()) {
+
432 condcfgb = conflmapit->second;
+
433 xtbodyp->Run((Stmt *)condcfgb->getCFGBlock()->getTerminatorStmt(), hcondstmt, rthread);
+
434 LLVM_DEBUG(llvm::dbgs() << "confluence block " << condcfgb->getBlockID() << "\n");
+
435 for (int i = thisix; i < pt.size(); i++) {
+
436 LLVM_DEBUG(llvm::dbgs() << "splitgraph block " << pt[i].first->getBlockID() << "\n");
+
437 // if (pt[i].first == condcfgb) break;
+
438 // else updatepnvisited(i);
+
439 //SplitCFGBlock * scfgb = const_cast<SplitCFGBlock *>(pt[i].first);
+
440 if ((pt[i].first != condcfgb) &&
+
441 (condexp_skip_block_set.find(const_cast<SplitCFGBlock *>(pt[i].first)) != condexp_skip_block_set.end())) {
+ +
443 }
+
444 }
+
445 }
+
446 else {
+
447 LLVM_DEBUG(llvm::dbgs() << "Confluence block for block " << blkid << " not found, skipping \n");
+
448 }
+
449 // below code doesn't work due to skipping too many nodes in true and false paths
+
450 // int flsix = pt[thisix].second.getFalseId();
+
451 // for (int i = thisix+1; i <flsix; i++) {
+
452 // // need to mark all the true branch nodes in path vector as visited.
+
453 // updatepnvisited(i);
+
454 // }
+
455 // for (int i =flsix; i < flsix+GetFalseLength(pt, thisix, state_num); i++) {
+
456 // // need to mark all the false branch nodes in path vector as visited.
+
457 // updatepnvisited(i);
+
458 // }
+
459 return;
460 }
-
461 if (hcondstmt->size() >0) h_switchcase->append(hcondstmt);
-
462 return;
-
463 } // end if this was a terminator block
-
464
+
461 else {
+
462 LLVM_DEBUG(llvm::dbgs() << "Terminator for block " << blkid << " not handled, is as follows\n");
+
463 LLVM_DEBUG(sgb->getCFGBlock()->getTerminatorStmt()->dump(llvm::dbgs(), ast_context_));
+
464 }
465
-
466 if (sgb->getNumOfElements() > 0) {
-
467
-
468 // from http://clang-developers.42468.n3.nabble.com/Visiting-statements-of-a-CFG-one-time-td4069440.html#a4069447
-
469 // had to add recursive traversal of AST node children
-
470 std::vector<const Stmt *> SS;
-
471 FindStatements(sgb, SS);
-
472 hNodep htmp = new hNode(h_top_->getname(), hNode::hdlopsEnum::hNoop);
-
473 //for (auto E : sgb->getElements()) {
-
474 //if (auto SE = E->getAs<CFGStmt>()) {
-
475 for (auto S : SS) {
-
476 //const Stmt *S = SE->getStmt();
-
477 if (sgb->hasWait()) iswait = true;
-
478 LLVM_DEBUG(llvm::dbgs() << "Split Graph Stmt follows\n");
-
479 LLVM_DEBUG(S->dump(llvm::dbgs(), ast_context_));
-
480
-
481 htmp->child_list.clear();
-
482
-
483 // Check if this CFG block has already been generated
-
484 // if so, skip the var decls. they were done on the
-
485 // first instantiation
-
486 // However, still need to generate code for their initializers
-
487 const DeclStmt *declstmt = dyn_cast<DeclStmt>(S);
-
488 if ((declstmt!=NULL) && (SGVisited[blkid]>1))
-
489 ProcessDeclStmt(declstmt, htmp);
-
490 else xtbodyp->Run(const_cast<Stmt *>(S), htmp, rthread); // not declstmt
+
466 // process true branch
+
467 hNodep if1 = new hNode(hNode::hdlopsEnum::hCStmt);
+
468 hNodep if2 = NULL;
+
469 int flseix = pt[thisix].second.getFalseId();
+
470 ProcessSplitGraphGroup(pt, thisix+1, flseix - (thisix+1), state_num, if1);
+
471 //ProcessSplitGraphGroup(pt, thisix+1, flseix_vec[thisix].first - (thisix+1),
+
472 //state_num,if1);
+
473 if (if1->size() > 0) hcondstmt->append(if1);
+
474 if (flseix != 0) {// has false branch
+
475 if2 = new hNode(hNode::hdlopsEnum::hCStmt);
+
476 ProcessSplitGraphGroup(pt, flseix, GetFalseLength(pt, thisix, state_num),
+
477 state_num, if2);
+
478 }
+
479
+
480 if (if2!=NULL && if2->size() > 0) {
+
481 if (if1->size()==0) {
+
482 if1->set(hNode::hdlopsEnum::hNoop);
+
483 hcondstmt->append(if1);
+
484 }
+
485 hcondstmt->append(if2);
+
486 }
+
487 if (hcondstmt->size() >0) h_switchcase->append(hcondstmt);
+
488 return;
+
489 } // end if this was a terminator block
+
490
491
-
492 LLVM_DEBUG(llvm::dbgs() << "after Run, htmp follows\n");
-
493 //htmp->dumphcode();
-
494 LLVM_DEBUG(htmp->print(llvm::dbgs()));
-
495 CheckVardecls(htmp,blkid);
-
496 if (IsWaitStmt(htmp)) {
-
497 ProcessHWait(htmp, sgb->getNextState());
-
498 //htmp->child_list.back()->set(std::to_string(sgb->getNextState()));
-
499 }
-
500 if (htmp->child_list.size() >0)
-
501 h_switchcase->child_list.insert(h_switchcase->child_list.end(), htmp->child_list.begin(), htmp->child_list.end());
-
502
-
503 //htmp->child_list.clear();
-
504
- -
506 }
-
507 //hthreadblocksp->append(h_switchcase);
-
508 }
-
509
-
510
-
511 // if (!iswait) {
-
512 // for (auto succ : sgb->getSuccessors()) {
-
513 // LLVM_DEBUG(llvm::dbgs() << "element successor path, block id is " << succ->getBlockID() << "\n");
-
514 // ProcessSplitGraphBlock(succ, state_num, h_switchcase, scfg);
-
515 // }
-
516 // }
-
517 }
-
518 }
-
-
519
-
-
520 void HDLThread::ProcessHWait(hNodep htmp, int nxtstate) {
-
521 hNodep hw = htmp->child_list.back(); // the wait instruction
-
522 if (hw->child_list.size() == 0) {
-
523 hw->set(hNode::hdlopsEnum::hBinop, "=");
-
524 hw->append(new hNode(nextstate_string, hNode::hdlopsEnum::hVarref));
-
525 hw->append(new hNode(std::to_string(nxtstate), hNode::hdlopsEnum::hLiteral));
-
526 }
-
527 else {
-
528 needwaitswitchcase = true;
-
529 // generate waitcounter = wait arg; nextwaitstate = nxtstate; nextstate = waitstate (which is numstates)
-
530 hNodep hnewinstr = hw->child_list.back();
-
531 string waitarg = hnewinstr->getname();
-
532 // wait counter = wait arg
-
533 hw->set( hNode::hdlopsEnum::hBinop, "=");
-
534 hnewinstr->set(hNode::hdlopsEnum::hVarref, nextwaitctr_string);
-
535 hw->append(new hNode(waitarg, hNode::hdlopsEnum::hLiteral));
-
536
-
537 // nextwaitstate = nextstate
-
538 htmp->append(GenerateBinop("=", savewaitnextstate_string, std::to_string(nxtstate), true));
-
539
-
540 // nextstate = waitstate
-
541 htmp->append(GenerateBinop("=", nextstate_string, std::to_string(numstates)));
-
542 }
-
543 htmp->append(new hNode(hNode::hdlopsEnum::hReturnStmt));
+
492 if (sgb->getNumOfElements() > 0) {
+
493
+
494 // from http://clang-developers.42468.n3.nabble.com/Visiting-statements-of-a-CFG-one-time-td4069440.html#a4069447
+
495 // had to add recursive traversal of AST node children
+
496 std::vector<const Stmt *> SS;
+
497 FindStatements(sgb, SS);
+
498 hNodep htmp = new hNode(h_top_->getname(), hNode::hdlopsEnum::hNoop);
+
499 //for (auto E : sgb->getElements()) {
+
500 //if (auto SE = E->getAs<CFGStmt>()) {
+
501 for (auto S : SS) {
+
502 //const Stmt *S = SE->getStmt();
+
503 if (sgb->hasWait()) iswait = true;
+
504 LLVM_DEBUG(llvm::dbgs() << "Split Graph Stmt follows\n");
+
505 LLVM_DEBUG(S->dump(llvm::dbgs(), ast_context_));
+
506
+
507 htmp->child_list.clear();
+
508
+
509 // Check if this CFG block has already been generated
+
510 // if so, skip the var decls. they were done on the
+
511 // first instantiation
+
512 // However, still need to generate code for their initializers
+
513 const DeclStmt *declstmt = dyn_cast<DeclStmt>(S);
+
514 if ((declstmt!=NULL) && (SGVisited[blkid]>1))
+
515 ProcessDeclStmt(declstmt, htmp);
+
516 else xtbodyp->Run(const_cast<Stmt *>(S), htmp, rthread); // not declstmt
+
517
+
518 LLVM_DEBUG(llvm::dbgs() << "after Run, htmp follows\n");
+
519 //htmp->dumphcode();
+
520 LLVM_DEBUG(htmp->print(llvm::dbgs()));
+
521 CheckVardecls(htmp,blkid);
+
522 if (IsWaitStmt(htmp)) {
+
523 ProcessHWait(htmp, sgb->getNextState());
+
524 //htmp->child_list.back()->set(std::to_string(sgb->getNextState()));
+
525 }
+
526 if (htmp->child_list.size() >0)
+
527 h_switchcase->child_list.insert(h_switchcase->child_list.end(), htmp->child_list.begin(), htmp->child_list.end());
+
528
+
529 //htmp->child_list.clear();
+
530
+ +
532 }
+
533 //hthreadblocksp->append(h_switchcase);
+
534 }
+
535
+
536
+
537 // if (!iswait) {
+
538 // for (auto succ : sgb->getSuccessors()) {
+
539 // LLVM_DEBUG(llvm::dbgs() << "element successor path, block id is " << succ->getBlockID() << "\n");
+
540 // ProcessSplitGraphBlock(succ, state_num, h_switchcase, scfg);
+
541 // }
+
542 // }
+
543 }
544 }
545
- -
547 // wait ctr -=1
-
548 hNodep hw = new hNode("--", hNode::hdlopsEnum::hPostfix);
-
549 hw->append(new hNode(nextwaitctr_string, hNode::hdlopsEnum::hVarref));
-
550 h_switchcase->append(hw);
-
551 // if (wait ctr == 0) nextstate = nextwaitstate
-
552 hw = new hNode(hNode::hdlopsEnum::hIfStmt);
-
553 hw->append(GenerateBinop("==", waitctr_string, "0"));
-
554 // then clause
- -
556 h_switchcase->append(hw);
-
557
-
558 }
+
546 void HDLThread::ProcessHWait(hNodep htmp, int nxtstate) {
+
547 hNodep hw = htmp->child_list.back(); // the wait instruction
+
548 if (hw->child_list.size() == 0) {
+
549 hw->set(hNode::hdlopsEnum::hBinop, "=");
+
550 hw->append(new hNode(nextstate_string, hNode::hdlopsEnum::hVarref));
+
551 hw->append(new hNode(std::to_string(nxtstate), hNode::hdlopsEnum::hLiteral));
+
552 }
+
553 else {
+
554 needwaitswitchcase = true;
+
555 // generate waitcounter = wait arg; nextwaitstate = nxtstate; nextstate = waitstate (which is numstates)
+
556 hNodep hnewinstr = hw->child_list.back();
+
557 string waitarg = hnewinstr->getname();
+
558 // wait counter = wait arg
+
559 hw->set( hNode::hdlopsEnum::hBinop, "=");
+
560 hnewinstr->set(hNode::hdlopsEnum::hVarref, nextwaitctr_string);
+
561 hw->append(new hNode(waitarg, hNode::hdlopsEnum::hLiteral));
+
562
+
563 // nextwaitstate = nextstate
+
564 htmp->append(GenerateBinop("=", savewaitnextstate_string, std::to_string(nxtstate), true));
+
565
+
566 // nextstate = waitstate
+
567 htmp->append(GenerateBinop("=", nextstate_string, std::to_string(numstates)));
+
568 }
+
569 htmp->append(new hNode(hNode::hdlopsEnum::hReturnStmt));
+
570 }
+
+
571
+
+ +
573 // wait ctr -=1
+
574 hNodep hw = new hNode("--", hNode::hdlopsEnum::hPostfix);
+
575 hw->append(new hNode(nextwaitctr_string, hNode::hdlopsEnum::hVarref));
+
576 h_switchcase->append(hw);
+
577 // if (wait ctr == 0) nextstate = nextwaitstate
+
578 hw = new hNode(hNode::hdlopsEnum::hIfStmt);
+
579 hw->append(GenerateBinop("==", waitctr_string, "0"));
+
580 // then clause
+ +
582 h_switchcase->append(hw);
+
583
+
584 }
-
559
-
-
560 void HDLThread::GenerateStateUpdate(hNodep hstatemethod, hNodep hshadowvarsp){
-
561 const string comb_assign = "@=";
-
562 hNodep hifblock = new hNode(hNode::hdlopsEnum::hIfStmt);
-
563 // expecting
-
564 // hSensvar ASYNC [
-
565 // hVarref arst NOLIST
-
566 // hLiteral 0 NOLIST
-
567 // ]
-
568
-
569 if ((h_resetvarinfo_ != NULL) && (h_resetvarinfo_->child_list.size() == 2)) {
-
570 hifblock->append(GenerateBinop("==", h_resetvarinfo_->child_list[0]->getname(),
-
571 h_resetvarinfo_->child_list[1]->getname()));
-
572 }
-
573 else hifblock->append(GenerateBinop("==", efc_->getResetSignal().first, efc_->getResetEdge().first, false));
-
574
-
575 // then part: reset state transition variables
-
576 hNodep hcstmt = new hNode(hNode::hdlopsEnum::hCStmt);
-
577 hcstmt->append(GenerateBinop(comb_assign, state_string, "0"));
-
578 hcstmt->append(GenerateBinop(comb_assign, waitnextstate_string, "0"));
-
579 hcstmt->append(GenerateBinop(comb_assign, waitctr_string, "0"));
-
580
-
581 // now do the referenced variables
-
582 for (hNodep onelocalvar : hshadowvarsp->child_list) {
-
583 //hcstmt->append(GenerateBinop(comb_assign, onelocalvar->getname(), "0")); // assume all coercible to int; need prior check
-
584 hcstmt->append(GenerateBinop(comb_assign, shadowstring+onelocalvar->getname(), "0")); // assume all coercible to int; need prior check
-
585
-
586 }
-
587
-
588 hifblock->append(hcstmt);
-
589
-
590 // else part: set state transition variables
-
591 hcstmt = new hNode(hNode::hdlopsEnum::hCStmt);
-
592 hcstmt->append(GenerateBinop(comb_assign, state_string, nextstate_string, false));
-
593 //hcstmt->append(GenerateBinop(comb_assign, waitnextstate_string, nextwaitnextstate_string, false));
-
594 hcstmt->append(GenerateBinop(comb_assign, waitctr_string, nextwaitctr_string, false));
- -
596
-
597 // now do the referenced variables
-
598 for (hNodep onelocalvar : hshadowvarsp->child_list) {
-
599 string s = onelocalvar->getname();
-
600 hcstmt->append(GenerateBinop(comb_assign,shadowstring+s, s, false));
-
601 }
-
602 hifblock->append(hcstmt);
-
603 hstatemethod->append(hifblock);
-
604 }
+
585
+
+
586 void HDLThread::GenerateStateUpdate(hNodep hstatemethod, hNodep hshadowvarsp){
+
587 const string comb_assign = "@=";
+
588 hNodep hifblock = new hNode(hNode::hdlopsEnum::hIfStmt);
+
589 // expecting
+
590 // hSensvar ASYNC [
+
591 // hVarref arst NOLIST
+
592 // hLiteral 0 NOLIST
+
593 // ]
+
594
+
595 // if ((h_resetvarinfo_ != NULL) && (h_resetvarinfo_->child_list.size() == 2)) {
+
596 // hifblock->append(GenerateBinop("==", h_resetvarinfo_->child_list[0]->getname(),
+
597 // h_resetvarinfo_->child_list[1]->getname()));
+
598 // }
+
599 //else
+
600 hifblock->append(GenerateBinop("==", efc_->getResetSignal().first, efc_->getResetEdge().first, false));
+
601
+
602 // then part: reset state transition variables
+
603 hNodep hcstmt = new hNode(hNode::hdlopsEnum::hCStmt);
+
604 hcstmt->append(GenerateBinop(comb_assign, state_string, "0"));
+
605 hcstmt->append(GenerateBinop(comb_assign, waitnextstate_string, "0"));
+
606 hcstmt->append(GenerateBinop(comb_assign, waitctr_string, "0"));
+
607
+
608 // now do the referenced variables
+
609 for (hNodep onelocalvar : hshadowvarsp->child_list) {
+
610 //hcstmt->append(GenerateBinop(comb_assign, onelocalvar->getname(), "0")); // assume all coercible to int; need prior check
+
611 hcstmt->append(GenerateBinop(comb_assign, shadowstring+onelocalvar->getname(), "0")); // assume all coercible to int; need prior check
+
612
+
613 }
+
614
+
615 hifblock->append(hcstmt);
+
616
+
617 // else part: set state transition variables
+
618 hcstmt = new hNode(hNode::hdlopsEnum::hCStmt);
+
619 hcstmt->append(GenerateBinop(comb_assign, state_string, nextstate_string, false));
+
620 //hcstmt->append(GenerateBinop(comb_assign, waitnextstate_string, nextwaitnextstate_string, false));
+
621 hcstmt->append(GenerateBinop(comb_assign, waitctr_string, nextwaitctr_string, false));
+ +
623
+
624 // now do the referenced variables
+
625 for (hNodep onelocalvar : hshadowvarsp->child_list) {
+
626 string s = onelocalvar->getname();
+
627 hcstmt->append(GenerateBinop(comb_assign,shadowstring+s, s, false));
+
628 }
+
629 hifblock->append(hcstmt);
+
630 hstatemethod->append(hifblock);
+
631 }
-
605
-
-
606 void HDLThread::GenerateStateVar(string sname) {
-
607 // add var decls for a state variable
-
608
-
609 hNodep hsigp = new hNode(sname, hNode::hdlopsEnum::hVardecl);
-
610 hNodep htypeinfo = new hNode(hNode::hdlopsEnum::hTypeinfo);
-
611 htypeinfo->append(new hNode("int", hNode::hdlopsEnum::hType));
-
612 hsigp->append(htypeinfo);
-
613 hlocalvarsp->append(hsigp);
-
614 }
+
632
+
+
633 void HDLThread::GenerateStateVar(string sname) {
+
634 // add var decls for a state variable
+
635
+
636 hNodep hsigp = new hNode(sname, hNode::hdlopsEnum::hVardecl);
+
637 hNodep htypeinfo = new hNode(hNode::hdlopsEnum::hTypeinfo);
+
638 htypeinfo->append(new hNode("int", hNode::hdlopsEnum::hType));
+
639 hsigp->append(htypeinfo);
+
640 hlocalvarsp->append(hsigp);
+
641 }
-
615
-
-
616 hNodep HDLThread::GenerateBinop( string opname, string lhs, string rhs, bool rhs_is_literal) {
-
617 hNodep newbinop = new hNode(opname, hNode::hdlopsEnum::hBinop);
-
618 newbinop->append(new hNode(lhs, hNode::hdlopsEnum::hVarref));
-
619 newbinop->append(new hNode(rhs, rhs_is_literal ? hNode::hdlopsEnum::hLiteral :hNode::hdlopsEnum::hVarref));
-
620 return newbinop;
-
621 }
+
642
+
+
643 hNodep HDLThread::GenerateBinop( string opname, string lhs, string rhs, bool rhs_is_literal) {
+
644 hNodep newbinop = new hNode(opname, hNode::hdlopsEnum::hBinop);
+
645 newbinop->append(new hNode(lhs, hNode::hdlopsEnum::hVarref));
+
646 newbinop->append(new hNode(rhs, rhs_is_literal ? hNode::hdlopsEnum::hLiteral :hNode::hdlopsEnum::hVarref));
+
647 return newbinop;
+
648 }
-
622
-
623 // Code below this line is obsolete
-
624 // BFS traversal so all local decls are seen before being referenced
-
625 #if 0
-
626 void HDLThread::AddThreadMethod(const CFGBlock &BI) {
-
627 std::vector<const CFGBlock *> succlist, nextsucclist;
-
628 ProcessBB(BI);
-
629 //CFGVisited[BI.getBlockID()]+=1;
-
630 for (const auto &succ : BI.succs() ) { // gather successors
-
631 const CFGBlock *SuccBlk = succ.getReachableBlock();
-
632 if (SuccBlk!=NULL) succlist.push_back(SuccBlk);
-
633 }
-
634 bool changed;
-
635 do {
-
636 changed = false;
-
637 for (const CFGBlock *si: succlist) { //process BB of successors at this level
-
638 if (CFGVisited.find(si->getBlockID()) == CFGVisited.end()) {
-
639 CFGVisited[si->getBlockID()]+= 1;
-
640 LLVM_DEBUG(llvm::dbgs() << "Visiting Block " << si->getBlockID() << "\n");
-
641 ProcessBB(*si);
-
642 changed = true;
-
643 for (auto sii: si->succs()) {
-
644 const CFGBlock *SuccBlk = sii.getReachableBlock();
-
645 if (SuccBlk!=NULL) nextsucclist.push_back(SuccBlk); // gather successors at next level
-
646 }
-
647 }
-
648 }
-
649 succlist = nextsucclist;
-
650 }
-
651 while (changed);
-
652 }
-
653
-
654 void HDLThread::ProcessBB(const CFGBlock &BI) {
-
655 string blkid = std::to_string(BI.getBlockID());
-
656 if (BI.size() > 0) {
-
657 hNodep h_body = new hNode("B"+blkid, hNode::hdlopsEnum::hMethod);
-
658 // from http://clang-developers.42468.n3.nabble.com/Visiting-statements-of-a-CFG-one-time-td4069440.html#a4069447
-
659 // had to add recursive traversal of AST node children
-
660 std::vector<const Stmt *> SS;
-
661 FindStatements(BI, SS);
-
662
-
663 hNodep htmp = new hNode(h_top_->getname(), hNode::hdlopsEnum::hNoop); // put the statement here temporarily
-
664 for (auto stmt: SS) {
-
665 LLVM_DEBUG(llvm::dbgs() << "Stmt follows\n");
-
666 LLVM_DEBUG(stmt->dump(llvm::dbgs(), ast_context_));
-
667 //generate hcode for this statement,
-
668 //HDLBody xmethod(const_cast<Stmt *>(stmt), h_body, diag_e, ast_context_, mod_vname_map_, false);
-
669 xtbodyp->Run(const_cast<Stmt *>(stmt), htmp, rthread);
-
670 CheckVardecls(htmp, BI.getBlockID());
-
671 if (htmp->child_list.size() >0)
-
672 h_body->child_list.insert(h_body->child_list.end(), htmp->child_list.begin(), htmp->child_list.end());
-
673
-
674 htmp->child_list.clear();
-
675
- -
677 }
-
678 hthreadblocksp->append(h_body);
-
679 }
-
680 }
-
681#endif
-
682
-
683}
+
649
+
650 // Code below this line is obsolete
+
651 // BFS traversal so all local decls are seen before being referenced
+
652 #if 0
+
653 void HDLThread::AddThreadMethod(const CFGBlock &BI) {
+
654 std::vector<const CFGBlock *> succlist, nextsucclist;
+
655 ProcessBB(BI);
+
656 //CFGVisited[BI.getBlockID()]+=1;
+
657 for (const auto &succ : BI.succs() ) { // gather successors
+
658 const CFGBlock *SuccBlk = succ.getReachableBlock();
+
659 if (SuccBlk!=NULL) succlist.push_back(SuccBlk);
+
660 }
+
661 bool changed;
+
662 do {
+
663 changed = false;
+
664 for (const CFGBlock *si: succlist) { //process BB of successors at this level
+
665 if (CFGVisited.find(si->getBlockID()) == CFGVisited.end()) {
+
666 CFGVisited[si->getBlockID()]+= 1;
+
667 LLVM_DEBUG(llvm::dbgs() << "Visiting Block " << si->getBlockID() << "\n");
+
668 ProcessBB(*si);
+
669 changed = true;
+
670 for (auto sii: si->succs()) {
+
671 const CFGBlock *SuccBlk = sii.getReachableBlock();
+
672 if (SuccBlk!=NULL) nextsucclist.push_back(SuccBlk); // gather successors at next level
+
673 }
+
674 }
+
675 }
+
676 succlist = nextsucclist;
+
677 }
+
678 while (changed);
+
679 }
+
680
+
681 void HDLThread::ProcessBB(const CFGBlock &BI) {
+
682 string blkid = std::to_string(BI.getBlockID());
+
683 if (BI.size() > 0) {
+
684 hNodep h_body = new hNode("B"+blkid, hNode::hdlopsEnum::hMethod);
+
685 // from http://clang-developers.42468.n3.nabble.com/Visiting-statements-of-a-CFG-one-time-td4069440.html#a4069447
+
686 // had to add recursive traversal of AST node children
+
687 std::vector<const Stmt *> SS;
+
688 FindStatements(BI, SS);
+
689
+
690 hNodep htmp = new hNode(h_top_->getname(), hNode::hdlopsEnum::hNoop); // put the statement here temporarily
+
691 for (auto stmt: SS) {
+
692 LLVM_DEBUG(llvm::dbgs() << "Stmt follows\n");
+
693 LLVM_DEBUG(stmt->dump(llvm::dbgs(), ast_context_));
+
694 //generate hcode for this statement,
+
695 //HDLBody xmethod(const_cast<Stmt *>(stmt), h_body, diag_e, ast_context_, mod_vname_map_, false);
+
696 xtbodyp->Run(const_cast<Stmt *>(stmt), htmp, rthread);
+
697 CheckVardecls(htmp, BI.getBlockID());
+
698 if (htmp->child_list.size() >0)
+
699 h_body->child_list.insert(h_body->child_list.end(), htmp->child_list.begin(), htmp->child_list.end());
+
700
+
701 htmp->child_list.clear();
+
702
+ +
704 }
+
705 hthreadblocksp->append(h_body);
+
706 }
+
707 }
+
708#endif
+
709
+
710}
@@ -810,61 +845,66 @@
const VectorCFGElementPtrImpl & getElements() const
Returns the elements in this block.
const clang::CFGBlock * getCFGBlock() const
Returns the pointer to the original CFGBlock from which the SplitCFGBlock was created.
+
unsigned int getBlockID() const
Returns the block ID for the SplitCFGBlock.
void dump() const
Dump member functions.
void generate_paths()
Generates the paths between wait statements.
Definition SplitCFG.cpp:836
+
std::set< SplitCFGBlock * > identifySkipBlocks()
const llvm::SmallVectorImpl< llvm::SmallVector< SplitCFGPathPair > > & getPathsFound()
Returns the paths that were found in the SCCFG.
llvm::SmallVector< SplitCFGPathPair > SplitCFGPath
Definition SplitCFG.h:95
const llvm::SmallVector< std::unordered_map< const SplitCFGBlock *, SplitCFGPathInfo > > & getAllPathInfo() const
+
std::map< SplitCFGBlock *, SplitCFGBlock * > getConfluenceBlocks() const
Rework.
hdecl_name_map_t vname_map
Definition HDLBody.h:88
hfunc_name_map_t methodecls
Definition HDLBody.h:84
void Run(Stmt *stmt, hNodep &h_top, HDLBodyMode runmode, HDLType *HDLt_userclassesp=NULL)
Definition HDLBody.cpp:75
-
void ProcessSplitGraphGroup(const SplitCFG::SplitCFGPath pt, int startix, int num_ele, int state_num, hNodep h_switchcase)
- - -
void GenerateStateVar(string sname)
-
int GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num)
-
void GenerateStateUpdate(hNodep hstatemethod, hNodep hlocalvarsp)
- - - - -
string savewaitnextstate_string
Definition HDLThread.h:59
-
bool isContinueorBreak(const Stmt *S)
+
void ProcessSplitGraphGroup(const SplitCFG::SplitCFGPath pt, int startix, int num_ele, int state_num, hNodep h_switchcase)
+ + +
void GenerateStateVar(string sname)
+
int GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num)
+
void GenerateStateUpdate(hNodep hstatemethod, hNodep hlocalvarsp)
+ + + + +
string savewaitnextstate_string
Definition HDLThread.h:68
+
bool isContinueorBreak(const Stmt *S)
clang::DiagnosticsEngine & diag_e
Definition HDLThread.h:34
-
void CheckVardecls(hNodep &hp, string &cfgblockid)
+
void CheckVardecls(hNodep &hp, string &cfgblockid)
- - -
const clang::ASTContext & ast_context_
Definition HDLThread.h:110
-
hdecl_name_map_t & mod_vname_map_
Definition HDLThread.h:47
-
const string statestringsymbol
Definition HDLThread.h:65
- - -
hfunc_name_map_t allmethodecls_
Definition HDLThread.h:52
-
void ProcessHWait(hNodep htmp, int nxtstate)
-
const string shadowstring
Definition HDLThread.h:64
-
void ProcessSplitGraphBlock(const SplitCFG::SplitCFGPath &pt, int thisix, int state_num, hNodep h_switchcase)
+ + +
const clang::ASTContext & ast_context_
Definition HDLThread.h:119
+
hdecl_name_map_t & mod_vname_map_
Definition HDLThread.h:56
+
const string statestringsymbol
Definition HDLThread.h:74
+ +
std::map< SplitCFGBlock *, SplitCFGBlock * > condexp_confluence_block_map
Definition HDLThread.h:45
+
hfunc_name_map_t allmethodecls_
Definition HDLThread.h:61
+
void ProcessHWait(hNodep htmp, int nxtstate)
+
const string shadowstring
Definition HDLThread.h:73
+
void ProcessSplitGraphBlock(const SplitCFG::SplitCFGPath &pt, int thisix, int state_num, hNodep h_switchcase)
hfunc_name_map_t methodecls
Definition HDLThread.h:32
+
void updatepnvisited(int i)
Definition HDLThread.h:83
void AddThreadMethod(const CFGBlock &BI)
- -
std::unordered_map< std::string, int > SGVisited
Definition HDLThread.h:70
-
std::unordered_set< int > pathnodevisited
Definition HDLThread.h:72
-
void MarkStatements(const Stmt *S, llvm::SmallDenseMap< const Stmt *, bool > &Map)
-
hNodep GenerateBinop(string opname, string lhs, string rhs, bool rhs_is_literal=true)
+ +
std::unordered_map< std::string, int > SGVisited
Definition HDLThread.h:79
+
std::set< SplitCFGBlock * > condexp_skip_block_set
Definition HDLThread.h:46
+
std::unordered_set< int > pathnodevisited
Definition HDLThread.h:81
+
void MarkStatements(const Stmt *S, llvm::SmallDenseMap< const Stmt *, bool > &Map)
+
hNodep GenerateBinop(string opname, string lhs, string rhs, bool rhs_is_literal=true)
void ProcessBB(const CFGBlock &BI)
- -
string NameNext(string &s)
Definition HDLThread.h:67
-
void GenerateWaitCntUpdate(hNodep h_switchcase)
-
bool IsWaitStmt(hNodep hp)
- - + +
string NameNext(string &s)
Definition HDLThread.h:76
+
void GenerateWaitCntUpdate(hNodep h_switchcase)
+
bool IsWaitStmt(hNodep hp)
+ +
HDLThread(EntryFunctionContainer *efc, hNodep &h_top, hNodep &h_portsigvarlist, clang::DiagnosticsEngine &diag_engine, const ASTContext &ast_context, hdecl_name_map_t &mod_vname_map, hfunc_name_map_t &allmethods, overridden_method_map_t &overridden_method_map, hNodep h_resetvarinfo)
Definition HDLThread.cpp:24
-
void FindStatements(const CFGBlock &B, std::vector< const Stmt * > &SS)
-
EntryFunctionContainer * efc_
Definition HDLThread.h:40
-
hdecl_name_map_t thread_vname_map
Definition HDLThread.h:45
-
void ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp)
+
void FindStatements(const CFGBlock &B, std::vector< const Stmt * > &SS)
+
EntryFunctionContainer * efc_
Definition HDLThread.h:49
+
hdecl_name_map_t thread_vname_map
Definition HDLThread.h:54
+
void ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp)
std::unordered_map< const CXXMethodDecl *, const CXXMethodDecl * > overridden_method_map_t
Definition hNode.h:628
@@ -872,7 +912,8 @@
+
diff --git a/HDLThread_8h.html b/HDLThread_8h.html index 53205147..e8f3d820 100644 --- a/HDLThread_8h.html +++ b/HDLThread_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLThread.h File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -96,174 +109,174 @@
- - - + + + - + - + - - - - - + + + + + - - - - - - - + + + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - - + + - + - - + + - - - + + + - + - + - - + + - - - + + + - + - + - + - + - + - + - + - + - + - - + + - - - + + + - - - - - - - - - - - + + + + + + + + + + + - - - - + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
@@ -288,13 +301,14 @@ - +

Namespaces

namespace  systemc_hdl
namespace  systemc_hdl
 
+
diff --git a/HDLThread_8h__incl.map b/HDLThread_8h__incl.map index bdc9d472..67daf22f 100644 --- a/HDLThread_8h__incl.map +++ b/HDLThread_8h__incl.map @@ -1,170 +1,170 @@ - - - + + + - + - + - - - - - + + + + + - - - - - - - + + + + + + + - - - - - - - - - - - - - + + + + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - - + + - + - - + + - - - + + + - + - + - - + + - - - + + + - + - + - + - + - + - + - + - + - + - - + + - - - + + + - - - - - - - - - - - + + + + + + + + + + + - - - - + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/HDLThread_8h__incl.png b/HDLThread_8h__incl.png index 3f007fb6..e9cc49b9 100644 Binary files a/HDLThread_8h__incl.png and b/HDLThread_8h__incl.png differ diff --git a/HDLThread_8h_source.html b/HDLThread_8h_source.html index 2c487155..c781f10a 100644 --- a/HDLThread_8h_source.html +++ b/HDLThread_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLThread.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
HDLThread.h
@@ -123,93 +131,102 @@
36 private:
37
-
39 hNodep h_ret; // value returned by each subexpression
- -
41 hNodep h_top_; // reference to calling hnode pointer
-
42 hNodep hthreadblocksp; // collect the case alternatives here
-
43 hNodep hlocalvarsp; // collect the local vars here
-
44
- - -
47 hdecl_name_map_t &mod_vname_map_; // reference to module level names
-
48
- -
50
- - - - - - - -
58 string waitnextstate_string; // holds the state# to set when ctr=0
-
59 string savewaitnextstate_string; // signal to hold the waitnextstate
- - -
62
-
63 const string nextstring{"_next_"};
-
64 const string shadowstring{"_main_"};
-
65 const string statestringsymbol{"#"};
-
66
-
67 inline string NameNext(string &s) {return nextstring+s;} // convention for variable name holding next value of var s
-
68
-
69 // inline string
-
70 std::unordered_map<std::string, int> SGVisited; // Split Graph Blocks visited
-
71 //std::unordered_map<unsigned int, int> CFGVisited; // CFG Blocks visited
-
72 std::unordered_set<int> pathnodevisited; // index of visited node in path
-
73
-
-
74 inline void updatepnvisited(int i) {
-
75 if (pathnodevisited.find(i) == pathnodevisited.end()) { //haven't visited
-
76 pathnodevisited.insert(i);
-
77 }
-
78 }
+
39 // additional information about conditional expression a?b:c
+
40 // subgraphs. we generate hcode for the confluence block terminator
+
41 // of the outmost cond expr only, and skip split graph nodes that
+
42 // have a path from outermost cond expr block to confluence block.
+
43 // path vector is incorrect for intervening nodes of nested cond exprs.
+
44
+
45 std::map<SplitCFGBlock*,SplitCFGBlock*> condexp_confluence_block_map;
+
46 std::set<SplitCFGBlock*> condexp_skip_block_set;
+
47
+
48 hNodep h_ret; // value returned by each subexpression
+ +
50 hNodep h_top_; // reference to calling hnode pointer
+
51 hNodep hthreadblocksp; // collect the case alternatives here
+
52 hNodep hlocalvarsp; // collect the local vars here
+
53
+ + +
56 hdecl_name_map_t &mod_vname_map_; // reference to module level names
+
57
+ +
59
+ + + + + + + +
67 string waitnextstate_string; // holds the state# to set when ctr=0
+
68 string savewaitnextstate_string; // signal to hold the waitnextstate
+ + +
71
+
72 const string nextstring{"_next_"};
+
73 const string shadowstring{"_main_"};
+
74 const string statestringsymbol{"#"};
+
75
+
76 inline string NameNext(string &s) {return nextstring+s;} // convention for variable name holding next value of var s
+
77
+
78 // inline string
+
79 std::unordered_map<std::string, int> SGVisited; // Split Graph Blocks visited
+
80 //std::unordered_map<unsigned int, int> CFGVisited; // CFG Blocks visited
+
81 std::unordered_set<int> pathnodevisited; // index of visited node in path
+
82
+
+
83 inline void updatepnvisited(int i) {
+
84 if (pathnodevisited.find(i) == pathnodevisited.end()) { //haven't visited
+
85 pathnodevisited.insert(i);
+
86 }
+
87 }
-
79
-
-
80 inline bool isBreak(const Stmt* S) {
-
81 return dyn_cast<BreakStmt> (S) != NULL;
-
82 }
+
88
+
+
89 inline bool isBreak(const Stmt* S) {
+
90 return dyn_cast<BreakStmt> (S) != NULL;
+
91 }
-
83
-
84 // pre-pass over BB to mark subexpressions
-
85 void FindStatements(const CFGBlock &B, std::vector<const Stmt *> &SS);
-
86 void FindStatements(const SplitCFGBlock *B, std::vector<const Stmt *> &SS);
-
87 void MarkStatements(const Stmt *S, llvm::SmallDenseMap<const Stmt*, bool> &Map);
-
88 void CheckVardecls(hNodep &hp, string &cfgblockid);
-
89 void ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp);
-
90
-
91 int GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num);
92
- -
94 int startix, int num_ele,
-
95 int state_num, hNodep h_switchcase);
-
96
- -
98 int thisix,
-
99 int state_num, hNodep h_switchcase);
-
100 void GenerateStateUpdate(hNodep hstatemethod, hNodep hlocalvarsp);
-
101 void GenerateStateVar(string sname);
-
102 void GenerateWaitCntUpdate(hNodep h_switchcase);
-
103 hNodep GenerateBinop(string opname, string lhs, string rhs, bool rhs_is_literal=true);
-
104 bool IsWaitStmt(hNodep hp);
-
105 bool isContinueorBreak(const Stmt *S);
-
106 void ProcessHWait(hNodep htmp, int nxtstate); // rewrite the hWait into next state update
-
107
- -
109
-
110 const clang::ASTContext& ast_context_;
-
111
-
112 // these functions are no longer used
-
113 void ProcessBB(const CFGBlock &BI);
-
114 void AddThreadMethod(const CFGBlock &BI);
-
115
-
116 };
+
93 // pre-pass over BB to mark subexpressions
+
94 void FindStatements(const CFGBlock &B, std::vector<const Stmt *> &SS);
+
95 void FindStatements(const SplitCFGBlock *B, std::vector<const Stmt *> &SS);
+
96 void MarkStatements(const Stmt *S, llvm::SmallDenseMap<const Stmt*, bool> &Map);
+
97 void CheckVardecls(hNodep &hp, string &cfgblockid);
+
98 void ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp);
+
99
+
100 int GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num);
+
101
+ +
103 int startix, int num_ele,
+
104 int state_num, hNodep h_switchcase);
+
105
+ +
107 int thisix,
+
108 int state_num, hNodep h_switchcase);
+
109 void GenerateStateUpdate(hNodep hstatemethod, hNodep hlocalvarsp);
+
110 void GenerateStateVar(string sname);
+
111 void GenerateWaitCntUpdate(hNodep h_switchcase);
+
112 hNodep GenerateBinop(string opname, string lhs, string rhs, bool rhs_is_literal=true);
+
113 bool IsWaitStmt(hNodep hp);
+
114 bool isContinueorBreak(const Stmt *S);
+
115 void ProcessHWait(hNodep htmp, int nxtstate); // rewrite the hWait into next state update
+
116
+ +
118
+
119 const clang::ASTContext& ast_context_;
+
120
+
121 // these functions are no longer used
+
122 void ProcessBB(const CFGBlock &BI);
+
123 void AddThreadMethod(const CFGBlock &BI);
+
124
+
125 };
-
117
-
118}
-
119
-
120#endif
+
126
+
127}
+
128
+
129#endif
@@ -223,57 +240,59 @@
llvm::SmallVector< SplitCFGPathPair > SplitCFGPath
Definition SplitCFG.h:95
-
void ProcessSplitGraphGroup(const SplitCFG::SplitCFGPath pt, int startix, int num_ele, int state_num, hNodep h_switchcase)
- - -
void GenerateStateVar(string sname)
-
int GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num)
-
void GenerateStateUpdate(hNodep hstatemethod, hNodep hlocalvarsp)
- - - - -
string savewaitnextstate_string
Definition HDLThread.h:59
-
bool isContinueorBreak(const Stmt *S)
+
void ProcessSplitGraphGroup(const SplitCFG::SplitCFGPath pt, int startix, int num_ele, int state_num, hNodep h_switchcase)
+ + +
void GenerateStateVar(string sname)
+
int GetFalseLength(const SplitCFG::SplitCFGPath &pt, int cond_node_ix, int state_num)
+
void GenerateStateUpdate(hNodep hstatemethod, hNodep hlocalvarsp)
+ + + + +
string savewaitnextstate_string
Definition HDLThread.h:68
+
bool isContinueorBreak(const Stmt *S)
clang::DiagnosticsEngine & diag_e
Definition HDLThread.h:34
-
void CheckVardecls(hNodep &hp, string &cfgblockid)
+
void CheckVardecls(hNodep &hp, string &cfgblockid)
-
const string nextstring
Definition HDLThread.h:63
- - -
const clang::ASTContext & ast_context_
Definition HDLThread.h:110
-
hdecl_name_map_t & mod_vname_map_
Definition HDLThread.h:47
-
const string statestringsymbol
Definition HDLThread.h:65
- - -
hfunc_name_map_t allmethodecls_
Definition HDLThread.h:52
-
void ProcessHWait(hNodep htmp, int nxtstate)
-
const string shadowstring
Definition HDLThread.h:64
-
void ProcessSplitGraphBlock(const SplitCFG::SplitCFGPath &pt, int thisix, int state_num, hNodep h_switchcase)
+
const string nextstring
Definition HDLThread.h:72
+ + +
const clang::ASTContext & ast_context_
Definition HDLThread.h:119
+
hdecl_name_map_t & mod_vname_map_
Definition HDLThread.h:56
+
const string statestringsymbol
Definition HDLThread.h:74
+ +
std::map< SplitCFGBlock *, SplitCFGBlock * > condexp_confluence_block_map
Definition HDLThread.h:45
+ +
hfunc_name_map_t allmethodecls_
Definition HDLThread.h:61
+
void ProcessHWait(hNodep htmp, int nxtstate)
+
const string shadowstring
Definition HDLThread.h:73
+
void ProcessSplitGraphBlock(const SplitCFG::SplitCFGPath &pt, int thisix, int state_num, hNodep h_switchcase)
hfunc_name_map_t methodecls
Definition HDLThread.h:32
- -
void updatepnvisited(int i)
Definition HDLThread.h:74
-
bool isBreak(const Stmt *S)
Definition HDLThread.h:80
+ +
void updatepnvisited(int i)
Definition HDLThread.h:83
+
bool isBreak(const Stmt *S)
Definition HDLThread.h:89
void AddThreadMethod(const CFGBlock &BI)
- -
std::unordered_map< std::string, int > SGVisited
Definition HDLThread.h:70
- -
overridden_method_map_t & overridden_method_map_
Definition HDLThread.h:53
-
std::unordered_set< int > pathnodevisited
Definition HDLThread.h:72
-
void MarkStatements(const Stmt *S, llvm::SmallDenseMap< const Stmt *, bool > &Map)
-
hNodep GenerateBinop(string opname, string lhs, string rhs, bool rhs_is_literal=true)
+ +
std::unordered_map< std::string, int > SGVisited
Definition HDLThread.h:79
+ +
overridden_method_map_t & overridden_method_map_
Definition HDLThread.h:62
+
std::set< SplitCFGBlock * > condexp_skip_block_set
Definition HDLThread.h:46
+
std::unordered_set< int > pathnodevisited
Definition HDLThread.h:81
+
void MarkStatements(const Stmt *S, llvm::SmallDenseMap< const Stmt *, bool > &Map)
+
hNodep GenerateBinop(string opname, string lhs, string rhs, bool rhs_is_literal=true)
void ProcessBB(const CFGBlock &BI)
- -
string NameNext(string &s)
Definition HDLThread.h:67
-
void GenerateWaitCntUpdate(hNodep h_switchcase)
-
bool IsWaitStmt(hNodep hp)
- - + +
string NameNext(string &s)
Definition HDLThread.h:76
+
void GenerateWaitCntUpdate(hNodep h_switchcase)
+
bool IsWaitStmt(hNodep hp)
+ +
HDLThread(EntryFunctionContainer *efc, hNodep &h_top, hNodep &h_portsigvarlist, clang::DiagnosticsEngine &diag_engine, const ASTContext &ast_context, hdecl_name_map_t &mod_vname_map, hfunc_name_map_t &allmethods, overridden_method_map_t &overridden_method_map, hNodep h_resetvarinfo)
Definition HDLThread.cpp:24
-
void FindStatements(const CFGBlock &B, std::vector< const Stmt * > &SS)
-
EntryFunctionContainer * efc_
Definition HDLThread.h:40
-
hdecl_name_map_t thread_vname_map
Definition HDLThread.h:45
-
void ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp)
+
void FindStatements(const CFGBlock &B, std::vector< const Stmt * > &SS)
+
EntryFunctionContainer * efc_
Definition HDLThread.h:49
+
hdecl_name_map_t thread_vname_map
Definition HDLThread.h:54
+
void ProcessDeclStmt(const DeclStmt *declstmt, hNodep htmp)
Clang forward declarations.
Definition FindArgument.h:6
Definition hNode.h:24
@@ -283,7 +302,8 @@
+
diff --git a/HDLType_8cpp.html b/HDLType_8cpp.html index 9c983753..5d1c019a 100644 --- a/HDLType_8cpp.html +++ b/HDLType_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLType.cpp File Reference + + @@ -32,23 +34,33 @@
- + + +
+
@@ -102,174 +115,174 @@
- - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - - - - - + + + + + - + - - - - - + + + + + - - - - - - + + + + + + - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + - - - - - + + + + + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + - - - + + + - - - - - - - - - + + + + + + + + +
@@ -300,7 +313,8 @@

+

diff --git a/HDLType_8cpp__incl.map b/HDLType_8cpp__incl.map index fb8aecbe..f3fb6283 100644 --- a/HDLType_8cpp__incl.map +++ b/HDLType_8cpp__incl.map @@ -1,170 +1,170 @@ - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - - - - - + + + + + - + - - - - - + + + + + - - - - - - + + + + + + - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + - - - - - + + + + + - - - - - - - - - - - + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + - - - + + + - - - - - - - - - + + + + + + + + + diff --git a/HDLType_8cpp__incl.png b/HDLType_8cpp__incl.png index 03193b73..49d8f840 100644 Binary files a/HDLType_8cpp__incl.png and b/HDLType_8cpp__incl.png differ diff --git a/HDLType_8cpp_source.html b/HDLType_8cpp_source.html index 39cca449..178a86f7 100644 --- a/HDLType_8cpp_source.html +++ b/HDLType_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLType.cpp Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
HDLType.cpp
@@ -394,7 +402,8 @@
+
diff --git a/HDLType_8h.html b/HDLType_8h.html index 8340e795..087a2255 100644 --- a/HDLType_8h.html +++ b/HDLType_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLType.h File Reference + + @@ -32,23 +34,33 @@
- + + +
+
@@ -95,7 +108,7 @@ - + @@ -104,7 +117,7 @@ - + @@ -121,46 +134,46 @@ - - - - - - + + + + + + - + - + - + - - - + + + - - - + + + - - - + + + - + - + - + - + - + @@ -180,35 +193,35 @@ - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - + - - - - - - - - + + + + + + + + @@ -216,18 +229,18 @@ - + - + - + @@ -239,7 +252,7 @@ - + @@ -247,9 +260,9 @@ - + - + @@ -268,7 +281,7 @@ - +
@@ -282,12 +295,12 @@ - + - + @@ -309,7 +322,8 @@
+ diff --git a/HDLType_8h__dep__incl.map b/HDLType_8h__dep__incl.map index 367662e3..1d0bf151 100644 --- a/HDLType_8h__dep__incl.map +++ b/HDLType_8h__dep__incl.map @@ -4,12 +4,12 @@ - + - + diff --git a/HDLType_8h__incl.map b/HDLType_8h__incl.map index 4bfd52a3..325e9104 100644 --- a/HDLType_8h__incl.map +++ b/HDLType_8h__incl.map @@ -3,7 +3,7 @@ - + @@ -12,7 +12,7 @@ - + @@ -29,46 +29,46 @@ - - - - - - + + + + + + - + - + - + - - - + + + - - - + + + - - - + + + - + - + - + - + - + @@ -88,35 +88,35 @@ - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + - + - - - - - - - - + + + + + + + + @@ -124,18 +124,18 @@ - + - + - + @@ -147,7 +147,7 @@ - + @@ -155,9 +155,9 @@ - + - + @@ -176,6 +176,6 @@ - +
diff --git a/HDLType_8h__incl.png b/HDLType_8h__incl.png index cf8eef13..53a15a03 100644 Binary files a/HDLType_8h__incl.png and b/HDLType_8h__incl.png differ diff --git a/HDLType_8h_source.html b/HDLType_8h_source.html index 54b3fe44..e192e70e 100644 --- a/HDLType_8h_source.html +++ b/HDLType_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/HDLType.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
HDLType.h
@@ -104,16 +112,16 @@
17public:
-
20 typedef std::unordered_map<string, QualType> usertype_map_t;
-
21 typedef std::unordered_map<const clang::Type *, string> userrectype_map_t;
+
20 typedef std::unordered_map<string, QualType> usertype_map_t;
+
21 typedef std::unordered_map<const clang::Type *, string> userrectype_map_t;
22
23 typedef struct {
24 // map from generated name to qual type
- +
26 // map from type * to generated name; used for user-defined method calls
27 // as first parameter to the method; only populated for record types
- +
30
@@ -160,18 +168,18 @@
void print()
Definition HDLType.h:54
-
std::unordered_map< string, QualType > usertype_map_t
Definition HDLType.h:20
+
std::unordered_map< const clang::Type *, string > userrectype_map_t
Definition HDLType.h:21
hNodep addtype(string typname, QualType qtyp, ASTContext &astcontext)
Definition HDLType.cpp:178
void print(llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)
Definition HDLType.h:45
usertype_info_t usertype_info
Definition HDLType.h:44
void SCtype2hcode(string prefix, Tree< TemplateType > *template_argtp, std::vector< llvm::APInt > *arr_sizes, hNode::hdlopsEnum h_op, hNodep &h_info)
Definition HDLType.cpp:29
void addfieldtype(const FieldDecl *fld, hNodep &h_typdef)
Definition HDLType.cpp:247
~HDLType()
Definition HDLType.h:19
+
std::unordered_map< string, QualType > usertype_map_t
Definition HDLType.h:20
util tutil
Definition HDLType.h:62
HDLType()
Definition HDLType.h:18
void generatetype(systemc_clang::TreeNode< systemc_clang::TemplateType > *const &node, systemc_clang::Tree< systemc_clang::TemplateType > *const &treehead, hNodep &h_info, bool generate_initial_htype=true)
Definition HDLType.cpp:94
bool checkusertype(systemc_clang::TreeNode< systemc_clang::TemplateType > *const &node, systemc_clang::Tree< systemc_clang::TemplateType > *const &treehead, string &tmps)
Definition HDLType.cpp:131
-
std::unordered_map< const clang::Type *, string > userrectype_map_t
Definition HDLType.h:21
@@ -187,7 +195,8 @@
+ diff --git a/InstanceMatcher_8h.html b/InstanceMatcher_8h.html index a9b5d4ce..1b6dadb1 100644 --- a/InstanceMatcher_8h.html +++ b/InstanceMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/InstanceMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -93,14 +106,14 @@
- + - + - + @@ -120,56 +133,56 @@ - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + +
@@ -185,7 +198,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -216,7 +229,8 @@

+ diff --git a/InstanceMatcher_8h__dep__incl.map b/InstanceMatcher_8h__dep__incl.map index 85b32f66..aa9ea8df 100644 --- a/InstanceMatcher_8h__dep__incl.map +++ b/InstanceMatcher_8h__dep__incl.map @@ -3,54 +3,54 @@ - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + + diff --git a/InstanceMatcher_8h__dep__incl.png b/InstanceMatcher_8h__dep__incl.png index a59d080a..4061800d 100644 Binary files a/InstanceMatcher_8h__dep__incl.png and b/InstanceMatcher_8h__dep__incl.png differ diff --git a/InstanceMatcher_8h__incl.map b/InstanceMatcher_8h__incl.map index bc8da78e..8583c112 100644 --- a/InstanceMatcher_8h__incl.map +++ b/InstanceMatcher_8h__incl.map @@ -1,12 +1,12 @@ - + - + - + diff --git a/InstanceMatcher_8h_source.html b/InstanceMatcher_8h_source.html index f5ed9c8d..32a12643 100644 --- a/InstanceMatcher_8h_source.html +++ b/InstanceMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/InstanceMatcher.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
InstanceMatcher.h
@@ -161,19 +169,19 @@
70class InstanceMatcher : public MatchFinder::MatchCallback {
71 public:
72 typedef std::tuple<std::string, clang::Decl *, ModuleInstanceType>
- -
74 typedef std::vector<InstanceDeclType> InstanceDeclarationsType;
+ +
74 typedef std::vector<InstanceDeclType> InstanceDeclarationsType;
75
79 //
80
-
81 typedef std::pair<clang::Decl *, ModuleInstanceType> ModuleInstanceTuple;
-
82 typedef std::map<clang::Decl *, ModuleInstanceType> InstanceDeclarations;
+
81 typedef std::pair<clang::Decl *, ModuleInstanceType> ModuleInstanceTuple;
+
82 typedef std::map<clang::Decl *, ModuleInstanceType> InstanceDeclarations;
83
84 private:
93 //
95 // InstanceDeclarationsType instances_;
96
- +
99
100 clang::ValueDecl *parent_fd_;
101
@@ -185,7 +193,7 @@
106 }
107
- +
109
110 void setParentFieldDecl(clang::ValueDecl *parent_fd) {
@@ -227,7 +235,7 @@
144 LLVM_DEBUG(llvm::dbgs()
145 << "- Insert fieldDecl into found instance\n");
146 found_instances.push_back(
-
147 InstanceDeclType(instance.instance_name, rt, instance));
+
147 InstanceDeclType(instance.instance_name, rt, instance));
148 }
149 }
150 } else {
@@ -245,7 +253,7 @@
162 LLVM_DEBUG(llvm::dbgs()
163 << "- Insert vardecl into found instance\n");
164 found_instances.push_back(
-
165 InstanceDeclType(instance.instance_name, rt, instance));
+
165 InstanceDeclType(instance.instance_name, rt, instance));
166 }
167 }
168 }
@@ -708,13 +716,13 @@
void registerMatchers(MatchFinder &finder)
+
std::map< clang::Decl *, ModuleInstanceType > InstanceDeclarations
void setParentFieldDecl(clang::ValueDecl *parent_fd)
-
std::map< clang::Decl *, ModuleInstanceType > InstanceDeclarations
-
std::pair< clang::Decl *, ModuleInstanceType > ModuleInstanceTuple
-
std::vector< InstanceDeclType > InstanceDeclarationsType
+
std::pair< clang::Decl *, ModuleInstanceType > ModuleInstanceTuple
+
std::tuple< std::string, clang::Decl *, ModuleInstanceType > InstanceDeclType
-
std::tuple< std::string, clang::Decl *, ModuleInstanceType > InstanceDeclType
+
std::vector< InstanceDeclType > InstanceDeclarationsType
void parseVarDecl(clang::VarDecl *instance_decl, std::string &instance_name)
InstanceMatcher & operator=(const InstanceMatcher &from)
void parseFieldDecl(clang::FieldDecl *instance_decl, clang::ValueDecl *parent_decl, std::string instance_name, IndexMapType &index_map)
@@ -735,7 +743,8 @@
+ diff --git a/InterfaceDecl_8cpp.html b/InterfaceDecl_8cpp.html index f947621f..5384eecd 100644 --- a/InterfaceDecl_8cpp.html +++ b/InterfaceDecl_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/InterfaceDecl.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
InterfaceDecl.cpp File Reference
@@ -87,7 +100,7 @@
- + @@ -97,9 +110,9 @@ - + - + @@ -109,7 +122,7 @@ - + @@ -120,7 +133,8 @@
+ diff --git a/InterfaceDecl_8cpp__incl.map b/InterfaceDecl_8cpp__incl.map index f948fc6a..a85b0b4c 100644 --- a/InterfaceDecl_8cpp__incl.map +++ b/InterfaceDecl_8cpp__incl.map @@ -1,6 +1,6 @@ - + @@ -10,9 +10,9 @@ - + - + @@ -22,7 +22,7 @@ - + diff --git a/InterfaceDecl_8cpp__incl.png b/InterfaceDecl_8cpp__incl.png index 7a22e2f8..aec79308 100644 Binary files a/InterfaceDecl_8cpp__incl.png and b/InterfaceDecl_8cpp__incl.png differ diff --git a/InterfaceDecl_8cpp_source.html b/InterfaceDecl_8cpp_source.html index 04b54f53..7d736905 100644 --- a/InterfaceDecl_8cpp_source.html +++ b/InterfaceDecl_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/InterfaceDecl.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
InterfaceDecl.cpp
@@ -145,7 +153,8 @@
+ diff --git a/InterfaceDecl_8h.html b/InterfaceDecl_8h.html index 1dd82d0c..6d23c090 100644 --- a/InterfaceDecl_8h.html +++ b/InterfaceDecl_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/InterfaceDecl.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -104,7 +117,7 @@ - + @@ -126,8 +139,8 @@ - - + + @@ -135,7 +148,7 @@ - + @@ -151,7 +164,7 @@ - + @@ -177,13 +190,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/InterfaceDecl_8h__dep__incl.map b/InterfaceDecl_8h__dep__incl.map index ff124b65..f9f54a0a 100644 --- a/InterfaceDecl_8h__dep__incl.map +++ b/InterfaceDecl_8h__dep__incl.map @@ -2,7 +2,7 @@ - + @@ -24,8 +24,8 @@ - - + + @@ -33,7 +33,7 @@ - + @@ -49,7 +49,7 @@ - + diff --git a/InterfaceDecl_8h__dep__incl.png b/InterfaceDecl_8h__dep__incl.png index 2dd54342..21514178 100644 Binary files a/InterfaceDecl_8h__dep__incl.png and b/InterfaceDecl_8h__dep__incl.png differ diff --git a/InterfaceDecl_8h_source.html b/InterfaceDecl_8h_source.html index 4ff03aa4..026dbf3c 100644 --- a/InterfaceDecl_8h_source.html +++ b/InterfaceDecl_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/InterfaceDecl.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
InterfaceDecl.h
@@ -134,7 +142,8 @@
+ diff --git a/Matchers_8h.html b/Matchers_8h.html index db9a88bc..fdb4df87 100644 --- a/Matchers_8h.html +++ b/Matchers_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/Matchers.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -105,17 +118,17 @@
- + - - - + + + - - - - - + + + + + @@ -123,30 +136,30 @@ - + - - + + - - - - - + + + + + - - + + - + - + - + - + @@ -157,8 +170,8 @@ - - + + @@ -167,15 +180,15 @@ - + - + - + - + - + @@ -185,7 +198,7 @@ - + @@ -198,9 +211,9 @@ - + - + @@ -212,9 +225,9 @@ - - - + + + @@ -235,46 +248,46 @@ - + - + - + - + - + - + - + - + - - - - - + + + + + @@ -294,7 +307,7 @@ - + @@ -306,56 +319,56 @@ - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + +
@@ -369,7 +382,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -400,7 +413,8 @@

+ diff --git a/Matchers_8h__dep__incl.map b/Matchers_8h__dep__incl.map index 9612af37..7aa86b94 100644 --- a/Matchers_8h__dep__incl.map +++ b/Matchers_8h__dep__incl.map @@ -1,54 +1,54 @@ - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + + diff --git a/Matchers_8h__dep__incl.png b/Matchers_8h__dep__incl.png index 3d0f2ef1..4f6823c5 100644 Binary files a/Matchers_8h__dep__incl.png and b/Matchers_8h__dep__incl.png differ diff --git a/Matchers_8h__incl.map b/Matchers_8h__incl.map index 097d2c11..849c4786 100644 --- a/Matchers_8h__incl.map +++ b/Matchers_8h__incl.map @@ -1,15 +1,15 @@ - + - - - + + + - - - - - + + + + + @@ -17,30 +17,30 @@ - + - - + + - - - - - + + + + + - - + + - + - + - + - + @@ -51,8 +51,8 @@ - - + + @@ -61,15 +61,15 @@ - + - + - + - + - + @@ -79,7 +79,7 @@ - + @@ -92,9 +92,9 @@ - + - + @@ -106,9 +106,9 @@ - - - + + + @@ -129,46 +129,46 @@ - + - + - + - + - + - + - + - + - - - - - + + + + + @@ -188,7 +188,7 @@ - + diff --git a/Matchers_8h__incl.png b/Matchers_8h__incl.png index d00d836e..5a26e1ff 100644 Binary files a/Matchers_8h__incl.png and b/Matchers_8h__incl.png differ diff --git a/Matchers_8h_source.html b/Matchers_8h_source.html index 6b0cb7aa..24f615e6 100644 --- a/Matchers_8h_source.html +++ b/Matchers_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/Matchers.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
Matchers.h
@@ -122,13 +130,13 @@
40class ModuleDeclarationMatcher : public MatchFinder::MatchCallback {
41 public:
-
42 typedef std::vector<InstanceMatcher::InstanceDeclType> InstanceListType;
+
42 typedef std::vector<InstanceMatcher::InstanceDeclType> InstanceListType;
43
-
45 typedef std::pair<clang::CXXRecordDecl *, ModuleInstance *> ModulePairType;
-
46 typedef std::multimap<clang::CXXRecordDecl *, ModuleInstance *> ModuleMapType;
+
45 typedef std::pair<clang::CXXRecordDecl *, ModuleInstance *> ModulePairType;
+
46 typedef std::multimap<clang::CXXRecordDecl *, ModuleInstance *> ModuleMapType;
47
48 private:
- +
53
54 // Match nested instances
@@ -145,7 +153,7 @@
65
66 virtual void run(const MatchFinder::MatchResult &result) {}
67
- +
69
70 void runPortMatcher(ASTContext &context, const clang::CXXRecordDecl *decl,
@@ -199,7 +207,7 @@
119 FindEntryFunctions findEntries{add_module_decl->getModuleClassDecl(),
120 llvm::dbgs(), context};
121
- +
123 findEntries.getEntryFunctions()};
124
125 LLVM_DEBUG(llvm::dbgs() << "6. Set the process\n";);
@@ -215,7 +223,7 @@
135 for (size_t i{0}; i < entryFunctions->size(); i++) {
136 EntryFunctionContainer *ef{(*entryFunctions)[i]};
137
- +
140 sens_matcher.getSensitivityMap()};
141 ef->addSensitivityInfo(sensitivity_info);
142
@@ -224,10 +232,10 @@
145 continue;
146 }
147
-
148 FindWait findWaits{ef->getEntryMethod(), llvm::dbgs()};
+
148 FindWait findWaits{ef->getEntryMethod(), llvm::dbgs()};
149 ef->addWaits(findWaits);
150
-
151 FindNotify findNotify{ef->getEntryMethod(), llvm::dbgs()};
+
151 FindNotify findNotify{ef->getEntryMethod(), llvm::dbgs()};
152 ef->addNotifys(findNotify);
153
154 _entryFunctionContainerVector.push_back(ef);
@@ -256,10 +264,10 @@
175 InstanceMatcher base_instance_matcher;
176 MatchFinder base_instance_reg{};
177 base_instance_matcher.registerMatchers(base_instance_reg);
-
178 base_instance_matcher.setParentFieldDecl(vd);
+
178 base_instance_matcher.setParentFieldDecl(vd);
179 base_instance_reg.match(*base_decl, context);
180 LLVM_DEBUG(llvm::dbgs() << "+ Dump base instance matcher\n";
-
181 base_instance_matcher.dump();
+
181 base_instance_matcher.dump();
182 llvm::dbgs() << "+ End dump base instance matcher\n";);
183
185 instance_matcher_ = base_instance_matcher;
@@ -303,7 +311,7 @@
232 << inst.second.instance_name << "\n";);
233
234 auto add_module{new ModuleInstance(name, decl)};
-
235 add_module->setInstanceInfo(instance);
+
235 add_module->setInstanceInfo(instance);
236
239 modules_.insert(std::pair<clang::CXXRecordDecl *, ModuleInstance *>(
240 decl, add_module));
@@ -372,6 +380,7 @@ +
void setParentFieldDecl(clang::ValueDecl *parent_fd)
void registerMatchers(MatchFinder &finder)
const InstanceDeclarations & getInstanceMap()
@@ -385,33 +394,30 @@
void matchInstancesInBaseClasses(ASTContext &context)
Definition Matchers.h:158
virtual void run(const MatchFinder::MatchResult &result)
Definition Matchers.h:66
-
std::vector< InstanceMatcher::InstanceDeclType > InstanceListType
Definition Matchers.h:42
-
std::multimap< clang::CXXRecordDecl *, ModuleInstance * > ModuleMapType
Definition Matchers.h:46
void runModuleDeclarationMatchers(ASTContext &context, clang::CXXRecordDecl *cxx_decl, ModuleInstance *add_module_decl)
Definition Matchers.h:95
-
std::pair< clang::CXXRecordDecl *, ModuleInstance * > ModulePairType
This will store all the modules as ModuleDecl.
Definition Matchers.h:45
+
std::pair< clang::CXXRecordDecl *, ModuleInstance * > ModulePairType
This will store all the modules as ModuleDecl.
Definition Matchers.h:45
+
std::multimap< clang::CXXRecordDecl *, ModuleInstance * > ModuleMapType
Definition Matchers.h:46
+
std::vector< InstanceMatcher::InstanceDeclType > InstanceListType
Definition Matchers.h:42
void registerMatchers(MatchFinder &finder)
void registerMatchers(MatchFinder &finder)
Defines the matcher, and setup the matcher.
-
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
+
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
-
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
+
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
-
clang::CXXMethodDecl * getEntryMethod() const
-
clang::CXXMethodDecl * getEntryMethod() const
Definition FindWait.cpp:66
Forward declarations.
void addConstructor(FindConstructor *)
const clang::CXXRecordDecl * getModuleClassDecl()
void setTemplateArgs(const vector< std::string > &)
void setTemplateParameters(const vector< std::string > &)
void addProcess(FindEntryFunctions::entryFunctionVectorType *)
-
void setInstanceInfo(const sc_ast_matchers::ModuleInstanceType &info)
void addPorts(const PortType &found_ports, const std::string &port_type)
std::vector< const clang::CXXRecordDecl * > getAllBaseClasses(const clang::CXXRecordDecl *decl)
@@ -420,7 +426,8 @@
+
diff --git a/Model_8cpp.html b/Model_8cpp.html index f161e527..36b4f8bb 100644 --- a/Model_8cpp.html +++ b/Model_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/Model.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
Model.cpp File Reference
@@ -86,210 +99,210 @@
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
@@ -297,7 +310,8 @@ + diff --git a/Model_8cpp__incl.map b/Model_8cpp__incl.map index 57fc6c87..a0c6181a 100644 --- a/Model_8cpp__incl.map +++ b/Model_8cpp__incl.map @@ -1,206 +1,206 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Model_8cpp__incl.png b/Model_8cpp__incl.png index d4b51db8..3668bef9 100644 Binary files a/Model_8cpp__incl.png and b/Model_8cpp__incl.png differ diff --git a/Model_8cpp_source.html b/Model_8cpp_source.html index 0d9a0f3a..63780d04 100644 --- a/Model_8cpp_source.html +++ b/Model_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/Model.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
Model.cpp
@@ -101,7 +109,7 @@
14 ModuleInstance *parent{getInstance(instance_info.getParentDecl())};
15
16 if ((parent != nullptr) && (parent != inst)) {
-
17 parent->addNestedModule(inst);
+
17 parent->addNestedModule(inst);
18 } else {
22 llvm::errs() << "Multiple root module instances are not allowed\n";
@@ -150,7 +158,7 @@
61 // TODO: ENHANCEMENT: This is one major refactoring that should be done at
62 // some point.
63 //
-
64 inst->clearOnlyGlobal();
+
64 inst->clearOnlyGlobal();
65 delete inst;
66
67 // This is deleted in the vector.
@@ -170,13 +178,13 @@
79
83
89
- +
91 for (FindGlobalEvents::globalEventMapType::iterator it = eventMap.begin();
92 it != eventMap.end(); it++) {
93 std::string eventName = it->first;
94 EventContainer *event{new EventContainer(eventName, it->second)};
95
-
96 event_map_.insert(eventPairType(eventName, event));
+
96 event_map_.insert(eventPairType(eventName, event));
97 }
98}
@@ -229,7 +237,7 @@
128
@@ -240,7 +248,7 @@
135}
136
- +
138
139unsigned int Model::getNumEvents() { return (event_map_.size() - 3); }
140
@@ -261,42 +269,41 @@ -
std::map< std::string, clang::VarDecl * > globalEventMapType
-
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
+
std::map< std::string, clang::VarDecl * > globalEventMapType
+
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
ModuleInstance * getRootModuleInstance() const
Definition Model.cpp:29
void populateNestedModules()
Definition Model.cpp:9
ModuleInstance * root_module_inst_
Definition Model.h:70
+
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionGPUMacroMapType
Definition Model.h:40
eventMapType getEventMapType()
Definition Model.cpp:137
+
std::pair< std::string, EventContainer * > eventPairType
Definition Model.h:29
FunctionDecl * scmain_function_decl_
Definition Model.h:74
entryFunctionGPUMacroMapType entry_function_gpu_macro_map_
Definition Model.h:79
void addGlobalEvents(FindGlobalEvents::globalEventMapType)
Definition Model.cpp:90
void addSimulationTime(FindSimTime::simulationTimeMapType)
Definition Model.cpp:80
+
std::map< std::string, EventContainer * > eventMapType
Definition Model.h:30
void addSCMain(FunctionDecl *)
Definition Model.cpp:100
void dump(raw_ostream &)
Definition Model.cpp:141
-
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionGPUMacroMapType
Definition Model.h:40
entryFunctionGPUMacroMapType getEntryFunctionGPUMacroMap()
Definition Model.cpp:129
ModuleInstance * getInstance(const std::string &instance_name)
Definition Model.cpp:102
-
std::pair< std::string, EventContainer * > eventPairType
Definition Model.h:29
void addInstance(ModuleInstance *)
Definition Model.cpp:76
FindSimTime::simulationTimeMapType simulation_time_
Definition Model.h:72
std::vector< ModuleInstance * > & getInstances()
Definition Model.cpp:133
eventMapType event_map_
Definition Model.h:73
void addEntryFunctionGPUMacroMap(entryFunctionGPUMacroMapType)
Definition Model.cpp:84
unsigned int getNumEvents()
Definition Model.cpp:139
-
std::map< std::string, EventContainer * > eventMapType
Definition Model.h:30
virtual ~Model()
Definition Model.cpp:33
std::vector< ModuleInstance * > module_instances_
Definition Model.h:69
Forward declarations.
-
void addNestedModule(ModuleInstance *submodule)
- + diff --git a/Model_8h.html b/Model_8h.html index abaf6fe9..1ced1b89 100644 --- a/Model_8h.html +++ b/Model_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/Model.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,61 +113,61 @@
- - + + - + - + - + - + - + - - - - - - - + + + + + + + - + - + - + - - - + + + - + - + - + - + - + @@ -166,14 +179,14 @@ - + - + @@ -206,8 +219,8 @@ - - + + @@ -224,18 +237,18 @@ - + - + - - + + - + @@ -243,38 +256,38 @@ - + - + - - - - - - - - - - - - + + + + + + + + + + + + - - - + + + - - - - - + + + + + @@ -312,9 +325,9 @@ - + - + @@ -323,11 +336,11 @@ - + - + @@ -336,9 +349,9 @@ - + - + @@ -367,13 +380,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/Model_8h__dep__incl.map b/Model_8h__dep__incl.map index b5adf545..1b7ba266 100644 --- a/Model_8h__dep__incl.map +++ b/Model_8h__dep__incl.map @@ -2,9 +2,9 @@ - + - + @@ -13,11 +13,11 @@ - + - + @@ -26,9 +26,9 @@ - + - + diff --git a/Model_8h__dep__incl.png b/Model_8h__dep__incl.png index 4f803ab0..bdc88480 100644 Binary files a/Model_8h__dep__incl.png and b/Model_8h__dep__incl.png differ diff --git a/Model_8h__incl.map b/Model_8h__incl.map index ba5e809d..1e0b0939 100644 --- a/Model_8h__incl.map +++ b/Model_8h__incl.map @@ -1,60 +1,60 @@ - - + + - + - + - + - + - + - - - - - - - + + + + + + + - + - + - + - - - + + + - + - + - + - + - + @@ -66,14 +66,14 @@ - + - + @@ -106,8 +106,8 @@ - - + + @@ -124,18 +124,18 @@ - + - + - - + + - + @@ -143,38 +143,38 @@ - + - + - - - - - - - - - - - - + + + + + + + + + + + + - - - + + + - - - - - + + + + + diff --git a/Model_8h__incl.png b/Model_8h__incl.png index 2ebe7f7b..49e68999 100644 Binary files a/Model_8h__incl.png and b/Model_8h__incl.png differ diff --git a/Model_8h_source.html b/Model_8h_source.html index eb3d3c60..21cfdf0e 100644 --- a/Model_8h_source.html +++ b/Model_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/Model.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
Model.h
@@ -110,30 +118,30 @@
24class Model {
25 public:
-
26 typedef std::pair<std::string, ModuleInstance *> modulePairType;
-
27 typedef std::vector<modulePairType> moduleMapType;
+
26 typedef std::pair<std::string, ModuleInstance *> modulePairType;
+
27 typedef std::vector<modulePairType> moduleMapType;
28
-
29 typedef std::pair<std::string, EventContainer *> eventPairType;
-
30 typedef std::map<std::string, EventContainer *> eventMapType;
+
29 typedef std::pair<std::string, EventContainer *> eventPairType;
+
30 typedef std::map<std::string, EventContainer *> eventMapType;
31
32 typedef std::pair<ModuleInstance *, std::vector<ModuleInstance *>>
- +
34 typedef std::map<ModuleInstance *, std::vector<ModuleInstance *>>
- +
36
37 typedef pair<EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType>
- +
39 typedef map<EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType>
- +
41
42 Model();
43 virtual ~Model();
44
- - + +
48 void addSCMain(FunctionDecl *);
- +
52
@@ -142,8 +150,8 @@
55 ModuleInstance *getInstance(Decl *instance_decl);
57
- - + +
60 std::vector<ModuleInstance *> &getInstances();
61 unsigned int getNumEvents();
62 std::vector<Transition *> getGSauto();
@@ -156,14 +164,14 @@
69 std::vector<ModuleInstance *> module_instances_;
71
- - + +
74 FunctionDecl *scmain_function_decl_;
- - - - - + + + + +
80};
81} // namespace systemc_clang
@@ -176,47 +184,47 @@ -
std::map< std::string, clang::VarDecl * > globalEventMapType
-
map< string, portSignalMapType > instancePortSignalMapType
Definition FindNetlist.h:31
-
map< string, string > instanceModuleMapType
Definition FindNetlist.h:25
-
map< string, vector< string > > instanceListModuleMapType
Definition FindNetlist.h:34
-
map< string, string > portSignalMapType
Definition FindNetlist.h:28
-
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
+
std::map< std::string, clang::VarDecl * > globalEventMapType
+
map< string, portSignalMapType > instancePortSignalMapType
Definition FindNetlist.h:31
+
map< string, vector< string > > instanceListModuleMapType
Definition FindNetlist.h:34
+
map< string, string > instanceModuleMapType
Definition FindNetlist.h:25
+
map< string, string > portSignalMapType
Definition FindNetlist.h:28
+
map< string, string > simulationTimeMapType
Definition FindSimTime.h:18
ModuleInstance * getRootModuleInstance() const
Definition Model.cpp:29
-
std::vector< modulePairType > moduleMapType
Definition Model.h:27
+
std::pair< std::string, ModuleInstance * > modulePairType
Definition Model.h:26
void populateNestedModules()
Definition Model.cpp:9
ModuleInstance * root_module_inst_
Definition Model.h:70
FindNetlist::instanceModuleMapType instance_module_map_
Definition Model.h:75
FindNetlist::portSignalMapType port_signal_map_
Definition Model.h:76
std::vector< Transition * > getGSauto()
+
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionGPUMacroMapType
Definition Model.h:40
eventMapType getEventMapType()
Definition Model.cpp:137
-
std::pair< std::string, ModuleInstance * > modulePairType
Definition Model.h:26
+
std::pair< std::string, EventContainer * > eventPairType
Definition Model.h:29
FunctionDecl * scmain_function_decl_
Definition Model.h:74
entryFunctionGPUMacroMapType entry_function_gpu_macro_map_
Definition Model.h:79
-
std::pair< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstancePairType
Definition Model.h:33
void addGlobalEvents(FindGlobalEvents::globalEventMapType)
Definition Model.cpp:90
-
pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionGPUMacroPairType
Definition Model.h:38
FindNetlist::instancePortSignalMapType port_signal_instance_map_
Definition Model.h:77
+
std::map< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstanceMapType
Definition Model.h:35
void addSimulationTime(FindSimTime::simulationTimeMapType)
Definition Model.cpp:80
+
std::map< std::string, EventContainer * > eventMapType
Definition Model.h:30
void addSCMain(FunctionDecl *)
Definition Model.cpp:100
void dump(raw_ostream &)
Definition Model.cpp:141
-
map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionGPUMacroMapType
Definition Model.h:40
+
std::vector< modulePairType > moduleMapType
Definition Model.h:27
entryFunctionGPUMacroMapType getEntryFunctionGPUMacroMap()
Definition Model.cpp:129
ModuleInstance * getInstance(const std::string &instance_name)
Definition Model.cpp:102
-
std::pair< std::string, EventContainer * > eventPairType
Definition Model.h:29
void addInstance(ModuleInstance *)
Definition Model.cpp:76
FindSimTime::simulationTimeMapType simulation_time_
Definition Model.h:72
std::vector< ModuleInstance * > & getInstances()
Definition Model.cpp:133
eventMapType event_map_
Definition Model.h:73
void addEntryFunctionGPUMacroMap(entryFunctionGPUMacroMapType)
Definition Model.cpp:84
unsigned int getNumEvents()
Definition Model.cpp:139
-
std::map< std::string, EventContainer * > eventMapType
Definition Model.h:30
virtual ~Model()
Definition Model.cpp:33
-
std::map< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstanceMapType
Definition Model.h:35
FindNetlist::instanceListModuleMapType module_instance_list_
Definition Model.h:78
+
std::pair< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstancePairType
Definition Model.h:33
+
pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapType > entryFunctionGPUMacroPairType
Definition Model.h:38
std::vector< ModuleInstance * > module_instances_
Definition Model.h:69
Model(const Model &)
Forward declarations.
@@ -226,7 +234,8 @@
+ diff --git a/ModuleInstanceType_8h.html b/ModuleInstanceType_8h.html index 83d80645..c0e436a4 100644 --- a/ModuleInstanceType_8h.html +++ b/ModuleInstanceType_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ModuleInstanceType.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,7 +113,7 @@
- + @@ -108,34 +121,34 @@ - + - + - + - + - + - + - + - + - + - + @@ -177,10 +190,10 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -208,7 +221,8 @@

+ diff --git a/ModuleInstanceType_8h__dep__incl.map b/ModuleInstanceType_8h__dep__incl.map index 4c90018d..0f822814 100644 --- a/ModuleInstanceType_8h__dep__incl.map +++ b/ModuleInstanceType_8h__dep__incl.map @@ -1,6 +1,6 @@ - + @@ -8,34 +8,34 @@ - + - + - + - + - + - + - + - + - + - + diff --git a/ModuleInstanceType_8h__dep__incl.png b/ModuleInstanceType_8h__dep__incl.png index 4d2f2ed9..be380021 100644 Binary files a/ModuleInstanceType_8h__dep__incl.png and b/ModuleInstanceType_8h__dep__incl.png differ diff --git a/ModuleInstanceType_8h_source.html b/ModuleInstanceType_8h_source.html index 9413d0dd..e907ed3e 100644 --- a/ModuleInstanceType_8h_source.html +++ b/ModuleInstanceType_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ModuleInstanceType.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
ModuleInstanceType.h
@@ -123,8 +131,8 @@
35 // Array fields
37 std::vector<llvm::APInt> array_sizes_;
-
38 typedef std::tuple<std::size_t, std::size_t, std::size_t> ArrayParamType;
- +
38 typedef std::tuple<std::size_t, std::size_t, std::size_t> ArrayParamType;
+
40
41 clang::Decl *getInstanceTypeDecl() const { return type_decl; }
42 clang::Decl *getInstanceDecl() const { return instance_decl; }
@@ -132,8 +140,8 @@
44 std::string getVarName() const { return var_name; }
45
47 void setArrayType() { is_array_ = true; }
- - + +
50 bool isArrayType() { return is_array_; }
51
55 unsigned int getArrayDimension() const { return array_sizes_.size(); }
@@ -260,7 +268,7 @@ -
std::tuple< std::size_t, std::size_t, std::size_t > ArrayParamType
+
std::tuple< std::size_t, std::size_t, std::size_t > ArrayParamType
@@ -278,7 +286,8 @@
+ diff --git a/ModuleInstance_8cpp.html b/ModuleInstance_8cpp.html index 8fa36d4f..27b2c3f8 100644 --- a/ModuleInstance_8cpp.html +++ b/ModuleInstance_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ModuleInstance.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
ModuleInstance.cpp File Reference
@@ -90,42 +103,42 @@
- + - - - - - + + + + + - + - - - + + + - + - - + + - + - + - + - + @@ -142,26 +155,26 @@ - - + + - - + + - - + + - + @@ -172,58 +185,58 @@ - - + + - + - + - + - - - - - + + + + + - - - - + + + + - + - - + + - + - - - - - + + + + + - - + + - - - + + + @@ -234,7 +247,7 @@ - + @@ -247,7 +260,8 @@
+
diff --git a/ModuleInstance_8cpp__incl.map b/ModuleInstance_8cpp__incl.map index 1ea03b5f..9fa9b797 100644 --- a/ModuleInstance_8cpp__incl.map +++ b/ModuleInstance_8cpp__incl.map @@ -1,40 +1,40 @@ - + - - - - - + + + + + - + - - - + + + - + - - + + - + - + - + - + @@ -51,26 +51,26 @@ - - + + - - + + - - + + - + @@ -81,58 +81,58 @@ - - + + - + - + - + - - - - - + + + + + - - - - + + + + - + - - + + - + - - - - - + + + + + - - + + - - - + + + @@ -143,7 +143,7 @@ - + diff --git a/ModuleInstance_8cpp__incl.png b/ModuleInstance_8cpp__incl.png index b3480aad..c5a77284 100644 Binary files a/ModuleInstance_8cpp__incl.png and b/ModuleInstance_8cpp__incl.png differ diff --git a/ModuleInstance_8cpp_source.html b/ModuleInstance_8cpp_source.html index 3e3c3dcb..5ea28687 100644 --- a/ModuleInstance_8cpp_source.html +++ b/ModuleInstance_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ModuleInstance.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
ModuleInstance.cpp
@@ -336,7 +344,7 @@
223void ModuleInstance::addPortBinding(const std::string &port_name,
224 PortBinding *pb) {
-
225 port_bindings_.insert(portBindingPairType(port_name, pb));
+
225 port_bindings_.insert(portBindingPairType(port_name, pb));
226}
227
@@ -348,7 +356,7 @@
232
- +
234 const std::string &port_type) {
235 if (port_type == "sc_in") {
236 std::copy(begin(found_ports), end(found_ports), back_inserter(in_ports_));
@@ -372,7 +380,7 @@
255
256 // SignalContainer
257 SignalDecl *signal_entry{new SignalDecl{*port_decl}};
-
258 signals_.insert(ModuleInstance::signalPairType(name, signal_entry));
+
258 signals_.insert(ModuleInstance::signalPairType(name, signal_entry));
259 }
260 }
261
@@ -388,35 +396,35 @@
271
- +
273 for (auto mit : p) {
274 std::string n{mit.first};
275 FindTemplateTypes *tt = new FindTemplateTypes(mit.second);
276 InterfaceDecl *pd = new InterfaceDecl(n, tt);
277
-
278 iinterfaces_.insert(interfacePairType(mit.first, pd));
+
278 iinterfaces_.insert(interfacePairType(mit.first, pd));
279 }
280}
281
- +
283 for (auto mit : p) {
284 std::string name{mit.first};
285 FindTemplateTypes *tt = new FindTemplateTypes(*mit.second);
286 InterfaceDecl *pd = new InterfaceDecl(name, tt);
287
-
288 ointerfaces_.insert(interfacePairType(name, pd));
+
288 ointerfaces_.insert(interfacePairType(name, pd));
289 }
290}
291
- +
294 for (auto mit : p) {
295 iointerfaces_.insert(
-
296 interfacePairType(mit.first, new InterfaceDecl(mit.first, mit.second)));
+
296 interfacePairType(mit.first, new InterfaceDecl(mit.first, mit.second)));
297 }
298}
@@ -446,7 +454,7 @@
316
- +
319 vef_ = *efv;
320 for (unsigned int i = 0; i < efv->size(); ++i) {
321 EntryFunctionContainer *ef = (*efv)[i];
@@ -474,7 +482,7 @@
343 break;
344 }
345 }
- +
347 entryName,
348 new ProcessDecl(entryType, entryName, ef->getEntryMethod(), ef)));
349 }
@@ -503,7 +511,7 @@
366
@@ -516,7 +524,7 @@
375
@@ -528,61 +536,61 @@
383
387
391
395
399
403
407
411
415
419
@@ -955,26 +963,26 @@
clang::CXXConstructorDecl * getConstructorDecl() const
clang::Stmt * getConstructorStmt() const
-
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
-
std::map< string, FindTemplateTypes * > interfaceType
+
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
+
std::map< string, FindTemplateTypes * > interfaceType
Forward declarations.
void addConstructor(FindConstructor *)
+
std::pair< std::string, InterfaceDecl * > interfacePairType
std::vector< std::string > template_parameters_
Class template parameters.
const portMapType & getOtherVars()
-
std::pair< std::string, SignalDecl * > signalPairType
void addInputInterfaces(FindTLMInterfaces::interfaceType)
void addNestedModule(ModuleInstance *submodule)
void addInstances(const std::vector< std::string > &)
+
std::pair< std::string, ProcessDecl * > processPairType
const std::vector< std::string > & getTemplateParameters() const
ModuleInstance & operator=(const ModuleInstance &from)
portSignalMapType port_signal_map_
const clang::CXXRecordDecl * getModuleClassDecl()
-
std::vector< std::tuple< std::string, PortDecl * > > PortType
void dumpSignals(llvm::raw_ostream &, int)
void addBaseInstance(ModuleInstance *)
std::vector< ModuleInstance * > base_instances_
@@ -982,12 +990,13 @@
void setTemplateArgs(const vector< std::string > &)
void dumpPorts(llvm::raw_ostream &)
+
std::vector< std::tuple< std::string, PortDecl * > > portMapType
const portMapType & getIOPorts()
const portMapType & getOPorts()
-
std::pair< std::string, ProcessDecl * > processPairType
void addPortBinding(const std::string &port_name, PortBinding *pb)
+
std::map< std::string, PortBinding * > portBindingMapType
const clang::Stmt * getConstructorStmt() const
@@ -996,24 +1005,24 @@
const std::vector< ModuleInstance * > & getNestedModuleInstances() const
void setTemplateParameters(const vector< std::string > &)
void addProcess(FindEntryFunctions::entryFunctionVectorType *)
-
std::pair< std::string, PortBinding * > portBindingPairType
const portMapType & getOutputStreamPorts()
void addInputOutputInterfaces(FindTLMInterfaces::interfaceType)
+
std::map< std::string, InterfaceDecl * > interfaceMapType
void addOutputInterfaces(FindTLMInterfaces::interfaceType)
+
std::vector< std::tuple< std::string, PortDecl * > > PortType
portBindingMapType port_bindings_
+
std::map< std::string, SignalDecl * > signalMapType
std::vector< ModuleInstance * > nested_modules_
Nested modules.
const portMapType & getInputStreamPorts()
const portBindingMapType & getPortBindings()
void dump(llvm::raw_ostream &)
const interfaceMapType & getOInterfaces()
void setModuleName(const std::string &)
-
std::map< std::string, InterfaceDecl * > interfaceMapType
-
std::pair< std::string, InterfaceDecl * > interfacePairType
const interfaceMapType & getIInterfaces()
ModuleInstanceType getInstanceInfo()
std::string getInstanceName() const
@@ -1021,30 +1030,29 @@
void dump_base_instances(llvm::raw_ostream &os)
-
std::map< std::string, PortBinding * > portBindingMapType
const clang::Decl * getInstanceDecl()
void dumpSignalBinding(llvm::raw_ostream &, int)
const clang::CXXConstructorDecl * getConstructorDecl() const
+
std::pair< std::string, SignalDecl * > signalPairType
void dumpProcesses(llvm::raw_ostream &, int)
const std::vector< ModuleInstance * > & getBaseInstances()
-
std::map< std::string, ProcessDecl * > processMapType
const std::vector< std::string > & getInstanceList()
+
std::map< std::string, ProcessDecl * > processMapType
std::vector< std::string > instance_list_
void setInstanceInfo(const sc_ast_matchers::ModuleInstanceType &info)
void addSignalBinding(std::map< std::string, std::string >)
std::vector< std::string > template_args_
clang::CXXConstructorDecl * constructor_decl_
+
std::pair< std::string, PortBinding * > portBindingPairType
std::vector< EntryFunctionContainer * > vef_
ModuleInstance()
Default constructor.
const interfaceMapType & getIOInterfaces()
-
std::map< std::string, SignalDecl * > signalMapType
void dumpInstances(llvm::raw_ostream &, int)
const processMapType & getProcessMap()
-
std::vector< std::tuple< std::string, PortDecl * > > portMapType
ModuleInstanceType instance_info_
const std::vector< EntryFunctionContainer * > & getEntryFunctionContainer()
@@ -1070,7 +1078,8 @@ + diff --git a/ModuleInstance_8h.html b/ModuleInstance_8h.html index 40394466..7ea40545 100644 --- a/ModuleInstance_8h.html +++ b/ModuleInstance_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ModuleInstance.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,33 +113,33 @@ - - + + - + - - + + - + - + - + @@ -141,17 +154,17 @@ - + - + - - + + @@ -162,7 +175,7 @@ - + @@ -175,7 +188,7 @@ - + @@ -184,7 +197,7 @@ - + @@ -200,24 +213,24 @@ - + - + - + - + - + @@ -236,7 +249,7 @@ - + @@ -246,7 +259,7 @@
- + @@ -267,8 +280,8 @@ - - + + @@ -276,7 +289,7 @@ - + @@ -292,7 +305,7 @@ - + @@ -319,16 +332,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/ModuleInstance_8h__dep__incl.map b/ModuleInstance_8h__dep__incl.map index 23501f4f..d35eeb5a 100644 --- a/ModuleInstance_8h__dep__incl.map +++ b/ModuleInstance_8h__dep__incl.map @@ -1,5 +1,5 @@ - + @@ -20,8 +20,8 @@ - - + + @@ -29,7 +29,7 @@ - + @@ -45,7 +45,7 @@ - + diff --git a/ModuleInstance_8h__dep__incl.png b/ModuleInstance_8h__dep__incl.png index 0ba33734..6e2f5107 100644 Binary files a/ModuleInstance_8h__dep__incl.png and b/ModuleInstance_8h__dep__incl.png differ diff --git a/ModuleInstance_8h__incl.map b/ModuleInstance_8h__incl.map index 3ff21d31..38ca8651 100644 --- a/ModuleInstance_8h__incl.map +++ b/ModuleInstance_8h__incl.map @@ -1,33 +1,33 @@ - - + + - + - - + + - + - + - + @@ -42,17 +42,17 @@ - + - + - - + + @@ -63,7 +63,7 @@ - + @@ -76,7 +76,7 @@ - + @@ -85,7 +85,7 @@ - + @@ -101,24 +101,24 @@ - + - + - + - + - + @@ -137,7 +137,7 @@ - + diff --git a/ModuleInstance_8h__incl.png b/ModuleInstance_8h__incl.png index 297c860c..83c9942f 100644 Binary files a/ModuleInstance_8h__incl.png and b/ModuleInstance_8h__incl.png differ diff --git a/ModuleInstance_8h_source.html b/ModuleInstance_8h_source.html index b48e4178..4c1b999d 100644 --- a/ModuleInstance_8h_source.html +++ b/ModuleInstance_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ModuleInstance.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
ModuleInstance.h
@@ -122,32 +130,32 @@
38 public:
-
39 typedef std::pair<std::string, SignalDecl *> signalPairType;
-
40 typedef std::map<std::string, SignalDecl *> signalMapType;
+
39 typedef std::pair<std::string, SignalDecl *> signalPairType;
+
40 typedef std::map<std::string, SignalDecl *> signalMapType;
41
-
42 typedef std::pair<std::string, InterfaceDecl *> interfacePairType;
-
43 typedef std::map<std::string, InterfaceDecl *> interfaceMapType;
+
42 typedef std::pair<std::string, InterfaceDecl *> interfacePairType;
+
43 typedef std::map<std::string, InterfaceDecl *> interfaceMapType;
44
45 // Maps the name of the process with a pointer to a structure that holds
46 // information about the process.
-
47 typedef std::pair<std::string, ProcessDecl *> processPairType;
-
48 typedef std::map<std::string, ProcessDecl *> processMapType;
+
47 typedef std::pair<std::string, ProcessDecl *> processPairType;
+
48 typedef std::map<std::string, ProcessDecl *> processMapType;
49
-
50 typedef std::pair<std::string, std::string> moduleProcessPairType;
+
50 typedef std::pair<std::string, std::string> moduleProcessPairType;
51
-
52 typedef std::pair<std::string, std::string> portSignalPairType;
-
53 typedef std::map<std::string, std::string> portSignalMapType;
+
52 typedef std::pair<std::string, std::string> portSignalPairType;
+
53 typedef std::map<std::string, std::string> portSignalMapType;
54
55 // Why is this a not a Type?
-
56 typedef std::vector<std::string> instanceName;
+
56 typedef std::vector<std::string> instanceName;
57
58 // string: name of bound port, PortBinding*: structure with more info.
-
59 typedef std::pair<std::string, PortBinding *> portBindingPairType;
-
60 typedef std::map<std::string, PortBinding *> portBindingMapType;
+
59 typedef std::pair<std::string, PortBinding *> portBindingPairType;
+
60 typedef std::map<std::string, PortBinding *> portBindingMapType;
61
62 // PortType
-
63 typedef std::vector<std::tuple<std::string, PortDecl *> > PortType;
-
64 typedef std::vector<std::tuple<std::string, PortDecl *> > portMapType;
+
63 typedef std::vector<std::tuple<std::string, PortDecl *> > PortType;
+
64 typedef std::vector<std::tuple<std::string, PortDecl *> > portMapType;
65
66 public:
@@ -163,14 +171,14 @@
80 virtual ~ModuleInstance();
81
-
82 void addPorts(const PortType &found_ports, const std::string &port_type);
+
82 void addPorts(const PortType &found_ports, const std::string &port_type);
83
85 void addConstructor(clang::Stmt *);
- - - - + + + +
90 void addInstances(const std::vector<std::string> &);
91
92 void addPortBinding(const std::string &port_name, PortBinding *pb);
@@ -199,29 +207,29 @@
116
-
118 const portMapType &getOPorts();
-
119 const portMapType &getIPorts();
-
120 const portMapType &getIOPorts();
-
121 const portMapType &getOtherVars();
- - - +
118 const portMapType &getOPorts();
+
119 const portMapType &getIPorts();
+
120 const portMapType &getIOPorts();
+
121 const portMapType &getOtherVars();
+ + +
125
126 const std::vector<ModuleInstance *> &getBaseInstances();
- +
128
- +
130
131 const clang::Stmt *getConstructorStmt() const;
132 const clang::CXXConstructorDecl *getConstructorDecl() const;
133
- - - + + +
137 const std::vector<std::string> &getInstanceList();
138 const std::vector<EntryFunctionContainer *> &getEntryFunctionContainer();
139 int getNumInstances() const;
-
140 const signalMapType &getSignals() const;
+
140 const signalMapType &getSignals() const;
141
142 const std::vector<ModuleInstance *> &getNestedModuleInstances() const;
143
@@ -254,24 +262,24 @@
170 // Instance fieldDecl or varDecl
171 clang::Decl *instance_decl_;
172
- - - - - + + + + +
178
- - + +
181
- +
183
- - - - + + + +
188
189 std::vector<std::string> instance_list_;
- +
191 std::vector<EntryFunctionContainer *> vef_;
192
194 std::vector<ModuleInstance *> nested_modules_;
@@ -294,28 +302,27 @@ -
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
-
std::map< string, FindTemplateTypes * > interfaceType
+
std::vector< EntryFunctionContainer * > entryFunctionVectorType
Typedefs.
+
std::map< string, FindTemplateTypes * > interfaceType
Forward declarations.
void addConstructor(FindConstructor *)
+
std::pair< std::string, InterfaceDecl * > interfacePairType
std::vector< std::string > template_parameters_
Class template parameters.
-
std::vector< std::string > instanceName
const portMapType & getOtherVars()
-
std::pair< std::string, SignalDecl * > signalPairType
+
std::pair< std::string, std::string > portSignalPairType
void addInputInterfaces(FindTLMInterfaces::interfaceType)
void addNestedModule(ModuleInstance *submodule)
void addInstances(const std::vector< std::string > &)
+
std::pair< std::string, ProcessDecl * > processPairType
void setInstanceName(const std::string &)
const std::vector< std::string > & getTemplateParameters() const
-
std::pair< std::string, std::string > portSignalPairType
void addConstructor(clang::Stmt *)
ModuleInstance & operator=(const ModuleInstance &from)
portSignalMapType port_signal_map_
const clang::CXXRecordDecl * getModuleClassDecl()
-
std::vector< std::tuple< std::string, PortDecl * > > PortType
void dumpSignals(llvm::raw_ostream &, int)
void addBaseInstance(ModuleInstance *)
clang::FieldDecl * getInstanceFieldDecl()
@@ -324,12 +331,13 @@
void setTemplateArgs(const vector< std::string > &)
void dumpPorts(llvm::raw_ostream &)
+
std::vector< std::tuple< std::string, PortDecl * > > portMapType
const portMapType & getIOPorts()
const portMapType & getOPorts()
-
std::pair< std::string, ProcessDecl * > processPairType
void addPortBinding(const std::string &port_name, PortBinding *pb)
+
std::map< std::string, PortBinding * > portBindingMapType
const clang::Stmt * getConstructorStmt() const
@@ -338,26 +346,27 @@
const std::vector< ModuleInstance * > & getNestedModuleInstances() const
void setTemplateParameters(const vector< std::string > &)
void addProcess(FindEntryFunctions::entryFunctionVectorType *)
-
std::pair< std::string, PortBinding * > portBindingPairType
const portMapType & getOutputStreamPorts()
void addInputOutputInterfaces(FindTLMInterfaces::interfaceType)
+
std::map< std::string, InterfaceDecl * > interfaceMapType
void addOutputInterfaces(FindTLMInterfaces::interfaceType)
+
std::vector< std::tuple< std::string, PortDecl * > > PortType
portBindingMapType port_bindings_
+
std::map< std::string, SignalDecl * > signalMapType
std::vector< ModuleInstance * > nested_modules_
Nested modules.
const portMapType & getInputStreamPorts()
const portBindingMapType & getPortBindings()
void dump(llvm::raw_ostream &)
+
std::map< std::string, std::string > portSignalMapType
const interfaceMapType & getOInterfaces()
void setModuleName(const std::string &)
-
std::map< std::string, InterfaceDecl * > interfaceMapType
-
std::pair< std::string, InterfaceDecl * > interfacePairType
const interfaceMapType & getIInterfaces()
ModuleInstanceType getInstanceInfo()
std::string getInstanceName() const
@@ -365,18 +374,18 @@
void dump_base_instances(llvm::raw_ostream &os)
-
std::map< std::string, PortBinding * > portBindingMapType
const clang::Decl * getInstanceDecl()
void dumpSignalBinding(llvm::raw_ostream &, int)
const clang::CXXConstructorDecl * getConstructorDecl() const
+
std::pair< std::string, SignalDecl * > signalPairType
void dumpProcesses(llvm::raw_ostream &, int)
const std::vector< ModuleInstance * > & getBaseInstances()
-
std::map< std::string, ProcessDecl * > processMapType
+
std::pair< std::string, std::string > moduleProcessPairType
const std::vector< std::string > & getInstanceList()
-
std::map< std::string, std::string > portSignalMapType
+
std::map< std::string, ProcessDecl * > processMapType
std::vector< std::string > instance_list_
void setInstanceInfo(const sc_ast_matchers::ModuleInstanceType &info)
void addSignalBinding(std::map< std::string, std::string >)
@@ -384,14 +393,13 @@
clang::CXXConstructorDecl * constructor_decl_
clang::VarDecl * getInstanceVarDecl()
+
std::pair< std::string, PortBinding * > portBindingPairType
std::vector< EntryFunctionContainer * > vef_
+
std::vector< std::string > instanceName
ModuleInstance()
Default constructor.
const interfaceMapType & getIOInterfaces()
-
std::map< std::string, SignalDecl * > signalMapType
void dumpInstances(llvm::raw_ostream &, int)
const processMapType & getProcessMap()
-
std::pair< std::string, std::string > moduleProcessPairType
-
std::vector< std::tuple< std::string, PortDecl * > > portMapType
ModuleInstanceType instance_info_
const portMapType & getSubmodules()
@@ -407,7 +415,8 @@
+ diff --git a/NetlistMatcher_8h.html b/NetlistMatcher_8h.html index d6f29666..9de098aa 100644 --- a/NetlistMatcher_8h.html +++ b/NetlistMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/NetlistMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -94,55 +107,55 @@
- + - + - + - - + + - - - + + + - - + + - + - + - + - - - + + + - + - - + + - + - + @@ -155,35 +168,35 @@ - + - + - + - + - + - + - + - + - + @@ -192,9 +205,9 @@ - + - + @@ -206,8 +219,8 @@ - - + + @@ -221,12 +234,12 @@ - + - + @@ -235,13 +248,13 @@ - + - + - + @@ -256,7 +269,7 @@ - + @@ -272,17 +285,17 @@ - + - + - + - + @@ -310,7 +323,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -338,7 +351,8 @@

+ diff --git a/NetlistMatcher_8h__incl.map b/NetlistMatcher_8h__incl.map index aea39dc4..30c3c7dc 100644 --- a/NetlistMatcher_8h__incl.map +++ b/NetlistMatcher_8h__incl.map @@ -1,54 +1,54 @@ - + - + - + - - + + - - - + + + - - + + - + - + - + - - - + + + - + - - + + - + - + @@ -61,35 +61,35 @@ - + - + - + - + - + - + - + - + - + @@ -98,9 +98,9 @@ - + - + @@ -112,8 +112,8 @@ - - + + @@ -127,12 +127,12 @@ - + - + @@ -141,13 +141,13 @@ - + - + - + @@ -162,7 +162,7 @@ - + @@ -178,17 +178,17 @@ - + - + - + - + diff --git a/NetlistMatcher_8h__incl.png b/NetlistMatcher_8h__incl.png index 59fc4e95..e4849f49 100644 Binary files a/NetlistMatcher_8h__incl.png and b/NetlistMatcher_8h__incl.png differ diff --git a/NetlistMatcher_8h_source.html b/NetlistMatcher_8h_source.html index f6f55039..dd43ea32 100644 --- a/NetlistMatcher_8h_source.html +++ b/NetlistMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/NetlistMatcher.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
NetlistMatcher.h
@@ -117,7 +125,7 @@
35 auto found_inst_it = std::find_if(
36 instances.begin(), instances.end(), [decl](const auto &instance) {
37 const clang::Decl *i{instance->getInstanceDecl()};
-
38 return (instance->getInstanceDecl() == decl);
+
38 return (instance->getInstanceDecl() == decl);
39 });
40
41 if (found_inst_it != instances.end()) {
@@ -354,7 +362,7 @@
282
285 std::string binding_name{pb->getCallerInstanceName() +
286 pb->getCallerPortName()};
-
287 parent_decl->addPortBinding(binding_name, pb);
+
287 parent_decl->addPortBinding(binding_name, pb);
288 pb->setInstanceConstructorName(instance_module_decl->getInstanceName());
289 }
290 }
@@ -401,7 +409,6 @@
std::vector< ModuleInstance * > & getInstances()
Definition Model.cpp:133
Forward declarations.
-
void addPortBinding(const std::string &port_name, PortBinding *pb)
const clang::MemberExpr * getArrayMemberExprName(const clang::Expr *expr)
@@ -409,7 +416,8 @@
+ diff --git a/NotifyCalls_8cpp.html b/NotifyCalls_8cpp.html index 8afaeef9..169cc5ec 100644 --- a/NotifyCalls_8cpp.html +++ b/NotifyCalls_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyCalls.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
NotifyCalls.cpp File Reference
@@ -101,7 +114,8 @@
+ diff --git a/NotifyCalls_8cpp_source.html b/NotifyCalls_8cpp_source.html index 506d7b86..27ce0659 100644 --- a/NotifyCalls_8cpp_source.html +++ b/NotifyCalls_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyCalls.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
NotifyCalls.cpp
@@ -146,7 +154,8 @@
+ diff --git a/NotifyCalls_8h.html b/NotifyCalls_8h.html index e5ef4709..e2434fcd 100644 --- a/NotifyCalls_8h.html +++ b/NotifyCalls_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyCalls.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -120,7 +133,7 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 

Detailed Description

@@ -130,7 +143,8 @@
+
diff --git a/NotifyCalls_8h_source.html b/NotifyCalls_8h_source.html index d04077ec..ce311070 100644 --- a/NotifyCalls_8h_source.html +++ b/NotifyCalls_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyCalls.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
NotifyCalls.h
@@ -142,7 +150,8 @@
+ diff --git a/NotifyContainer_8cpp.html b/NotifyContainer_8cpp.html index 96c55e4f..27c8dd25 100644 --- a/NotifyContainer_8cpp.html +++ b/NotifyContainer_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyContainer.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
NotifyContainer.cpp File Reference
@@ -87,13 +100,13 @@
- + - + @@ -107,7 +120,8 @@
+ diff --git a/NotifyContainer_8cpp__incl.map b/NotifyContainer_8cpp__incl.map index 79de99d2..b7e612f6 100644 --- a/NotifyContainer_8cpp__incl.map +++ b/NotifyContainer_8cpp__incl.map @@ -1,12 +1,12 @@ - + - + diff --git a/NotifyContainer_8cpp_source.html b/NotifyContainer_8cpp_source.html index bd9a898d..f7f2fc3c 100644 --- a/NotifyContainer_8cpp_source.html +++ b/NotifyContainer_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyContainer.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ + + diff --git a/NotifyContainer_8h.html b/NotifyContainer_8h.html index e286b06a..87ae4d57 100644 --- a/NotifyContainer_8h.html +++ b/NotifyContainer_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyContainer.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -95,11 +108,11 @@ - + - + @@ -113,7 +126,7 @@ - +
@@ -127,13 +140,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/NotifyContainer_8h__dep__incl.map b/NotifyContainer_8h__dep__incl.map index 4341f10f..bd2589c0 100644 --- a/NotifyContainer_8h__dep__incl.map +++ b/NotifyContainer_8h__dep__incl.map @@ -2,6 +2,6 @@ - +
diff --git a/NotifyContainer_8h__incl.map b/NotifyContainer_8h__incl.map index aa9cdefc..1fcfe17c 100644 --- a/NotifyContainer_8h__incl.map +++ b/NotifyContainer_8h__incl.map @@ -2,11 +2,11 @@ - + - + diff --git a/NotifyContainer_8h_source.html b/NotifyContainer_8h_source.html index 5a273ac5..14e0ae98 100644 --- a/NotifyContainer_8h_source.html +++ b/NotifyContainer_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/NotifyContainer.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
NotifyContainer.h
@@ -101,7 +109,7 @@
15public:
16 // typedefs
-
17 typedef vector<string> waitArgVectorType;
+
17 typedef vector<string> waitArgVectorType;
18
20 NotifyContainer(CXXMethodDecl *, CallExpr *);
@@ -114,7 +122,7 @@
27 unsigned int getNumArgs();
28 CXXMethodDecl *getEntryMethod();
29 CallExpr *getASTNode();
- +
31
32 void dump(raw_ostream &, int tabn = 0);
33
@@ -127,7 +135,7 @@
40 CallExpr *_astNode;
41 unsigned int _numArgs;
42
- +
44};
45} // namespace systemc_clang
@@ -137,8 +145,8 @@ + - @@ -152,7 +160,8 @@ + diff --git a/PluginAction_8h.html b/PluginAction_8h.html index 1a0cd7ba..21e27bb7 100644 --- a/PluginAction_8h.html +++ b/PluginAction_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/PluginAction.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,7 +113,7 @@ - + @@ -124,28 +137,28 @@ - - + + - + - + - + - + - + - - + + @@ -159,9 +172,9 @@ - + - + @@ -169,9 +182,9 @@ - + - + @@ -184,22 +197,22 @@ - - + + - - + + - - + + - - + + @@ -220,29 +233,29 @@ - + - - - + + + - + - + - + - + - - - + + + @@ -250,9 +263,9 @@ - - - + + + @@ -266,21 +279,21 @@ - - - - - + + + + + - + - + - - + + @@ -300,7 +313,7 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 

Detailed Description

@@ -310,7 +323,8 @@
+
diff --git a/PluginAction_8h__incl.map b/PluginAction_8h__incl.map index 5287a87e..00da37d1 100644 --- a/PluginAction_8h__incl.map +++ b/PluginAction_8h__incl.map @@ -5,7 +5,7 @@ - + @@ -29,28 +29,28 @@ - - + + - + - + - + - + - + - - + + @@ -64,9 +64,9 @@ - + - + @@ -74,9 +74,9 @@ - + - + @@ -89,22 +89,22 @@ - - + + - - + + - - + + - - + + @@ -125,29 +125,29 @@ - + - - - + + + - + - + - + - + - - - + + + @@ -155,9 +155,9 @@ - - - + + + @@ -171,21 +171,21 @@ - - - - - + + + + + - + - + - - + + diff --git a/PluginAction_8h__incl.png b/PluginAction_8h__incl.png index e1f4a209..ecca7498 100644 Binary files a/PluginAction_8h__incl.png and b/PluginAction_8h__incl.png differ diff --git a/PluginAction_8h_source.html b/PluginAction_8h_source.html index 225525c1..65931a79 100644 --- a/PluginAction_8h_source.html +++ b/PluginAction_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/PluginAction.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
PluginAction.h
@@ -195,7 +203,8 @@
+ diff --git a/PortBinding_8h.html b/PortBinding_8h.html index df827b81..a154edde 100644 --- a/PortBinding_8h.html +++ b/PortBinding_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/PortBinding.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -90,13 +103,13 @@
- + - + @@ -115,7 +128,7 @@ - + @@ -124,7 +137,7 @@ - + @@ -141,10 +154,10 @@ - + - + @@ -159,7 +172,7 @@ - + @@ -168,11 +181,11 @@ - + - + @@ -187,13 +200,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/PortBinding_8h__dep__incl.map b/PortBinding_8h__dep__incl.map index a9898d28..828747f4 100644 --- a/PortBinding_8h__dep__incl.map +++ b/PortBinding_8h__dep__incl.map @@ -3,7 +3,7 @@ - + @@ -12,7 +12,7 @@ - + @@ -29,10 +29,10 @@ - + - + @@ -47,7 +47,7 @@ - + @@ -56,11 +56,11 @@ - + - + diff --git a/PortBinding_8h__dep__incl.png b/PortBinding_8h__dep__incl.png index 76d27b70..12b1adcf 100644 Binary files a/PortBinding_8h__dep__incl.png and b/PortBinding_8h__dep__incl.png differ diff --git a/PortBinding_8h__incl.map b/PortBinding_8h__incl.map index 55f68922..9d89ff5b 100644 --- a/PortBinding_8h__incl.map +++ b/PortBinding_8h__incl.map @@ -1,12 +1,12 @@ - + - + diff --git a/PortBinding_8h_source.html b/PortBinding_8h_source.html index 8dae9197..1a73f05a 100644 --- a/PortBinding_8h_source.html +++ b/PortBinding_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/PortBinding.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
PortBinding.h
@@ -98,7 +106,7 @@
13 public:
-
14 typedef std::vector<const clang::Expr *> ArraySubscriptsExprType;
+
14 typedef std::vector<const clang::Expr *> ArraySubscriptsExprType;
15
16 private:
17 //
@@ -106,15 +114,15 @@
20 const clang::ArraySubscriptExpr *caller_array_expr_;
21 const clang::MemberExpr *caller_instance_me_expr_;
22 const clang::Expr *caller_port_array_expr_;
- +
24 const clang::MemberExpr *caller_port_me_expr_;
- +
26
28 const clang::Expr *callee_expr_;
29 const clang::MemberExpr *callee_port_me_expr_; // port
30 const clang::MemberExpr *callee_instance_me_expr_; // instance
31 const clang::ArraySubscriptExpr *callee_array_expr_;
- +
33
35
36 // Instance information
@@ -153,19 +161,19 @@
63 const std::string getCalleePortName() const { return callee_port_name_; }
64
68
72
@@ -405,7 +413,6 @@
const clang::Expr * caller_expr_
Caller.
Definition PortBinding.h:19
ArraySubscriptsExprType callee_array_subscripts_
Definition PortBinding.h:32
const std::string getCalleePortName() const
Definition PortBinding.h:63
-
std::vector< const clang::Expr * > ArraySubscriptsExprType
Definition PortBinding.h:14
const std::string getCalleeInstanceName() const
Definition PortBinding.h:60
const std::string & getPortName() const
Definition PortBinding.h:84
std::string caller_instance_type_name_
Definition PortBinding.h:39
@@ -421,6 +428,7 @@
const std::string getCallerInstanceName() const
Definition PortBinding.h:52
const clang::Expr * callee_expr_
Callee.
Definition PortBinding.h:28
void setInstanceConstructorName(const std::string &name)
Definition PortBinding.h:80
+
std::vector< const clang::Expr * > ArraySubscriptsExprType
Definition PortBinding.h:14
std::string caller_instance_name_
We no longer support sc_main parsing.
Definition PortBinding.h:37
ArraySubscriptsExprType caller_port_array_subscripts_
Definition PortBinding.h:23
const clang::Expr * caller_port_array_expr_
Definition PortBinding.h:22
@@ -452,7 +460,8 @@
+ diff --git a/PortDecl_8cpp.html b/PortDecl_8cpp.html index e1be61a5..89db36d2 100644 --- a/PortDecl_8cpp.html +++ b/PortDecl_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/PortDecl.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
PortDecl.cpp File Reference
@@ -89,13 +102,13 @@
- + - + @@ -111,7 +124,7 @@ - + @@ -132,7 +145,8 @@
+
diff --git a/PortDecl_8cpp__incl.map b/PortDecl_8cpp__incl.map index d22ba6a4..04374acd 100644 --- a/PortDecl_8cpp__incl.map +++ b/PortDecl_8cpp__incl.map @@ -1,11 +1,11 @@ - + - + @@ -21,7 +21,7 @@ - + diff --git a/PortDecl_8cpp__incl.png b/PortDecl_8cpp__incl.png index 8a2d29d2..733a4dc7 100644 Binary files a/PortDecl_8cpp__incl.png and b/PortDecl_8cpp__incl.png differ diff --git a/PortDecl_8cpp_source.html b/PortDecl_8cpp_source.html index 45b56997..a31bcf99 100644 --- a/PortDecl_8cpp_source.html +++ b/PortDecl_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/PortDecl.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
PortDecl.cpp
@@ -264,7 +272,8 @@
+ diff --git a/PortDecl_8h.html b/PortDecl_8h.html index 089d9c50..a2f2982f 100644 --- a/PortDecl_8h.html +++ b/PortDecl_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/PortDecl.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,16 +113,16 @@ - + - + - + @@ -122,8 +135,8 @@ - - + + @@ -131,7 +144,7 @@ - + @@ -147,7 +160,7 @@ - + @@ -156,18 +169,18 @@ - + - + - +
@@ -181,16 +194,17 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/PortDecl_8h__dep__incl.map b/PortDecl_8h__dep__incl.map index e13863e6..5802b6a9 100644 --- a/PortDecl_8h__dep__incl.map +++ b/PortDecl_8h__dep__incl.map @@ -1,16 +1,16 @@ - + - + - + @@ -23,8 +23,8 @@ - - + + @@ -32,7 +32,7 @@ - + @@ -48,7 +48,7 @@ - + @@ -57,17 +57,17 @@ - + - + - + diff --git a/PortDecl_8h__dep__incl.png b/PortDecl_8h__dep__incl.png index 1a1e6663..5f777c4f 100644 Binary files a/PortDecl_8h__dep__incl.png and b/PortDecl_8h__dep__incl.png differ diff --git a/PortDecl_8h_source.html b/PortDecl_8h_source.html index edfcfeb1..ad14d986 100644 --- a/PortDecl_8h_source.html +++ b/PortDecl_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/PortDecl.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
PortDecl.h
@@ -165,7 +173,8 @@
+ diff --git a/PortMatcher_8h.html b/PortMatcher_8h.html index 8fac20c3..47050a3d 100644 --- a/PortMatcher_8h.html +++ b/PortMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/PortMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -95,25 +108,25 @@ - - + + - + - + - + - - + + - - + + @@ -128,22 +141,22 @@ - - - - - + + + + + - - + + - - - + + + @@ -155,11 +168,11 @@ - - - + + + - + @@ -171,13 +184,13 @@ - + - + - + @@ -201,21 +214,21 @@ - - + + - - - - - - - - + + + + + + + + - + - + @@ -226,7 +239,7 @@ - + @@ -237,7 +250,7 @@ - + @@ -251,56 +264,56 @@ - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + +
@@ -314,7 +327,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -350,7 +363,8 @@

+ diff --git a/PortMatcher_8h__dep__incl.map b/PortMatcher_8h__dep__incl.map index 188ddd22..d43e459e 100644 --- a/PortMatcher_8h__dep__incl.map +++ b/PortMatcher_8h__dep__incl.map @@ -3,54 +3,54 @@ - + - + - + - - - - - + + + + + - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + - + - + - - + + - - + + - - + + diff --git a/PortMatcher_8h__dep__incl.png b/PortMatcher_8h__dep__incl.png index 04757a22..88b07345 100644 Binary files a/PortMatcher_8h__dep__incl.png and b/PortMatcher_8h__dep__incl.png differ diff --git a/PortMatcher_8h__incl.map b/PortMatcher_8h__incl.map index f1ee3782..40de21a5 100644 --- a/PortMatcher_8h__incl.map +++ b/PortMatcher_8h__incl.map @@ -1,25 +1,25 @@ - - + + - + - + - + - - + + - - + + @@ -34,22 +34,22 @@ - - - - - + + + + + - - + + - - - + + + @@ -61,11 +61,11 @@ - - - + + + - + @@ -77,13 +77,13 @@ - + - + - + @@ -107,21 +107,21 @@ - - + + - - - - - - - - + + + + + + + + - + - + @@ -132,7 +132,7 @@ - + @@ -143,7 +143,7 @@ - + diff --git a/PortMatcher_8h__incl.png b/PortMatcher_8h__incl.png index bcec944a..ad58864a 100644 Binary files a/PortMatcher_8h__incl.png and b/PortMatcher_8h__incl.png differ diff --git a/PortMatcher_8h_source.html b/PortMatcher_8h_source.html index 3ed4a63c..10ba09a6 100644 --- a/PortMatcher_8h_source.html +++ b/PortMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/PortMatcher.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@ +
PortMatcher.h
@@ -119,49 +127,49 @@
43class PortMatcher : public MatchFinder::MatchCallback {
44 public:
-
47 typedef std::vector<std::tuple<std::string, PortDecl *> > MemberDeclType;
+
47 typedef std::vector<std::tuple<std::string, PortDecl *> > MemberDeclType;
48
49 private:
50 //std::string top_module_decl_;
51
52 public:
- - - - - - - - - + + + + + + + + +
63
- +
66
67 public:
68
-
71 const MemberDeclType &getClockPorts() const { return clock_ports_; }
+
71 const MemberDeclType &getClockPorts() const { return clock_ports_; }
72
-
75 const MemberDeclType &getInputPorts() const { return in_ports_; }
+
75 const MemberDeclType &getInputPorts() const { return in_ports_; }
76
-
79 const MemberDeclType &getOutputPorts() const { return out_ports_; }
+
79 const MemberDeclType &getOutputPorts() const { return out_ports_; }
80
-
83 const MemberDeclType &getInOutPorts() const { return inout_ports_; }
+
83 const MemberDeclType &getInOutPorts() const { return inout_ports_; }
84
-
87 const MemberDeclType &getOtherVars() const { return other_fields_; }
+
87 const MemberDeclType &getOtherVars() const { return other_fields_; }
88
-
91 const MemberDeclType &getSignals() const { return signal_fields_; }
+
91 const MemberDeclType &getSignals() const { return signal_fields_; }
92
-
95 const MemberDeclType &getSubmodules() const { return submodules_; }
+
95 const MemberDeclType &getSubmodules() const { return submodules_; }
96
- +
100
- +
104 return outstream_ports_;
105 }
106
-
108 const MemberDeclType &getPorts() const { return sc_ports_; }
+
108 const MemberDeclType &getPorts() const { return sc_ports_; }
109
112
@@ -414,7 +422,7 @@
366
- +
368 // Input ports
369 for (const auto &i : found_ports) {
370 LLVM_DEBUG(llvm::dbgs() << "name: " << get<0>(i) << ", FieldDecl*: "
@@ -432,7 +440,7 @@
380 clang::QualType qual_type{fd->getType()};
381 const clang::Type *type_ptr{qual_type.getTypePtr()};
382 auto template_ptr{new FindTemplateTypes()};
-
383 template_ptr->Enumerate(type_ptr);
+
383 template_ptr->Enumerate(type_ptr);
384 LLVM_DEBUG(template_ptr->printTemplateArguments(llvm::dbgs()));
385 return template_ptr;
386 }
@@ -440,7 +448,7 @@
387
388 template <typename T>
-
389 void insert_port(MemberDeclType &port, T *decl, bool isFieldDecl = true) {
+
389 void insert_port(MemberDeclType &port, T *decl, bool isFieldDecl = true) {
390 // port is a map entry [CXXRecordDecl* => vector<PortDecl*>]
391
392 std::string name{};
@@ -452,7 +460,7 @@
398 std::vector<llvm::APInt> sizes{ getConstantArraySizes(fd) };
399
400 if (sizes.size() > 0 ) {
-
401 new_pd->setArrayType();
+
401 new_pd->setArrayType();
402 for (auto const &array_size : sizes ) {
403 new_pd->addArraySize(array_size);
404 }
@@ -470,7 +478,7 @@
416 auto field_type{vd->getType()};
418 auto array_type{dyn_cast<ConstantArrayType>(field_type)};
419 if (array_type) {
-
420 new_pd->setArrayType();
+
420 new_pd->setArrayType();
421 while (array_type != nullptr) {
422 llvm::APInt array_size{};
423 array_size = array_type->getSize();
@@ -598,29 +606,29 @@
545
546 virtual void run(const MatchFinder::MatchResult &result) {
-
547 auto sc_in_field{checkMatch<clang::CXXRecordDecl>("desugar_sc_in", result)};
+
547 auto sc_in_field{checkMatch<clang::CXXRecordDecl>("desugar_sc_in", result)};
548 auto sc_out_field{
-
549 checkMatch<clang::CXXRecordDecl>("desugar_sc_out", result)};
+
549 checkMatch<clang::CXXRecordDecl>("desugar_sc_out", result)};
550 auto sc_inout_field{
-
551 checkMatch<clang::CXXRecordDecl>("desugar_sc_inout", result)};
+
551 checkMatch<clang::CXXRecordDecl>("desugar_sc_inout", result)};
552 auto sc_signal_field{
-
553 checkMatch<clang::CXXRecordDecl>("desugar_sc_signal_inout_if", result)};
+
553 checkMatch<clang::CXXRecordDecl>("desugar_sc_signal_inout_if", result)};
554 auto sc_stream_in_field{
-
555 checkMatch<clang::CXXRecordDecl>("desugar_sc_stream_in", result)};
+
555 checkMatch<clang::CXXRecordDecl>("desugar_sc_stream_in", result)};
556 auto sc_stream_out_field{
-
557 checkMatch<clang::CXXRecordDecl>("desugar_sc_stream_out", result)};
+
557 checkMatch<clang::CXXRecordDecl>("desugar_sc_stream_out", result)};
558 auto sc_rvd_in_field{
-
559 checkMatch<clang::CXXRecordDecl>("desugar_sc_rvd_in", result)};
+
559 checkMatch<clang::CXXRecordDecl>("desugar_sc_rvd_in", result)};
560 auto sc_rvd_out_field{
-
561 checkMatch<clang::CXXRecordDecl>("desugar_sc_rvd_out", result)};
+
561 checkMatch<clang::CXXRecordDecl>("desugar_sc_rvd_out", result)};
562 auto sc_port_field{
-
563 checkMatch<clang::CXXRecordDecl>("desugar_sc_port", result)};
-
564 auto other_fields{checkMatch<clang::Decl>("other_fields", result)};
-
565 auto other_fvdecl{checkMatch<clang::Decl>("other_fvdecl", result)};
+
563 checkMatch<clang::CXXRecordDecl>("desugar_sc_port", result)};
+
564 auto other_fields{checkMatch<clang::Decl>("other_fields", result)};
+
565 auto other_fvdecl{checkMatch<clang::Decl>("other_fvdecl", result)};
566
568 // auto array_type{checkMatch<clang::ArrayType>("array_type", result)};
569
-
571 auto submodule_fd{checkMatch<clang::FieldDecl>("submodule_fd", result)};
+
571 auto submodule_fd{checkMatch<clang::FieldDecl>("submodule_fd", result)};
572
573 if (submodule_fd) {
574 auto name{submodule_fd->getNameAsString()};
@@ -799,21 +807,20 @@
auto makeSignalMatcher(llvm::StringRef name)
auto parseTemplateType(const T *fd)
+
std::vector< std::tuple< std::string, PortDecl * > > MemberDeclType
Definition PortMatcher.h:47
virtual void run(const MatchFinder::MatchResult &result)
auto makeArrayTypeMatcher(const std::string &name)
-
std::vector< std::tuple< std::string, PortDecl * > > MemberDeclType
Definition PortMatcher.h:47
-
void Enumerate(const clang::Type *type)
-
ArraySizesType getConstantArraySizes(const clang::ValueDecl *fd)
+
diff --git a/ProcessDecl_8cpp.html b/ProcessDecl_8cpp.html index 93822ec6..98c4ac8a 100644 --- a/ProcessDecl_8cpp.html +++ b/ProcessDecl_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ProcessDecl.cpp File Reference + + @@ -32,23 +34,33 @@
- + + + +
ProcessDecl.cpp File Reference
@@ -98,7 +111,7 @@ - + @@ -113,7 +126,7 @@ - + @@ -131,7 +144,7 @@ - + @@ -141,17 +154,17 @@ - + - + - - + + - - + + @@ -165,9 +178,9 @@ - + - + @@ -180,7 +193,8 @@
+ diff --git a/ProcessDecl_8cpp__incl.map b/ProcessDecl_8cpp__incl.map index fd042f9b..cca64157 100644 --- a/ProcessDecl_8cpp__incl.map +++ b/ProcessDecl_8cpp__incl.map @@ -10,7 +10,7 @@ - + @@ -25,7 +25,7 @@ - + @@ -43,7 +43,7 @@ - + @@ -53,17 +53,17 @@ - + - + - - + + - - + + @@ -77,9 +77,9 @@ - + - + diff --git a/ProcessDecl_8cpp__incl.png b/ProcessDecl_8cpp__incl.png index 013188ab..b650fe29 100644 Binary files a/ProcessDecl_8cpp__incl.png and b/ProcessDecl_8cpp__incl.png differ diff --git a/ProcessDecl_8cpp_source.html b/ProcessDecl_8cpp_source.html index 804f3be6..27a45c44 100644 --- a/ProcessDecl_8cpp_source.html +++ b/ProcessDecl_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ProcessDecl.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
ProcessDecl.cpp
@@ -193,7 +201,8 @@
+ diff --git a/ProcessDecl_8h.html b/ProcessDecl_8h.html index cab296f1..0438a7a3 100644 --- a/ProcessDecl_8h.html +++ b/ProcessDecl_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ProcessDecl.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -93,7 +106,7 @@ - +
@@ -102,8 +115,8 @@
- - + + @@ -127,8 +140,8 @@ - - + + @@ -136,7 +149,7 @@ - + @@ -152,7 +165,7 @@ - + @@ -178,10 +191,10 @@ - + - +

Namespaces

namespace  clang
namespace  clang
 Clang forward declarations.
 
namespace  systemc_clang
namespace  systemc_clang
 

@@ -193,7 +206,8 @@ + diff --git a/ProcessDecl_8h__dep__incl.map b/ProcessDecl_8h__dep__incl.map index b1b0bac4..dee13448 100644 --- a/ProcessDecl_8h__dep__incl.map +++ b/ProcessDecl_8h__dep__incl.map @@ -1,6 +1,6 @@ - - + + @@ -24,8 +24,8 @@ - - + + @@ -33,7 +33,7 @@ - + @@ -49,7 +49,7 @@ - + diff --git a/ProcessDecl_8h__dep__incl.png b/ProcessDecl_8h__dep__incl.png index 0f9136c1..0f271f1a 100644 Binary files a/ProcessDecl_8h__dep__incl.png and b/ProcessDecl_8h__dep__incl.png differ diff --git a/ProcessDecl_8h__incl.map b/ProcessDecl_8h__incl.map index 5ed3bf11..ecd3f55d 100644 --- a/ProcessDecl_8h__incl.map +++ b/ProcessDecl_8h__incl.map @@ -2,6 +2,6 @@ - + diff --git a/ProcessDecl_8h_source.html b/ProcessDecl_8h_source.html index ed66971a..ce17bb66 100644 --- a/ProcessDecl_8h_source.html +++ b/ProcessDecl_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/ProcessDecl.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
ProcessDecl.h
@@ -157,7 +165,8 @@
+ diff --git a/ResetMatcher_8h.html b/ResetMatcher_8h.html index f0065724..dc58b3f0 100644 --- a/ResetMatcher_8h.html +++ b/ResetMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/ResetMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -117,7 +130,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -148,7 +161,8 @@

+ diff --git a/ResetMatcher_8h_source.html b/ResetMatcher_8h_source.html index fd6ab437..cd9bf3cb 100644 --- a/ResetMatcher_8h_source.html +++ b/ResetMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/ResetMatcher.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
ResetMatcher.h
@@ -237,7 +245,8 @@
+ diff --git a/SAPlugin_8h.html b/SAPlugin_8h.html index 9583403a..65c9a407 100644 --- a/SAPlugin_8h.html +++ b/SAPlugin_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SAPlugin.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,52 +103,52 @@
- - - + + + - - - + + + - - - - - - - - - + + + + + + + + + - + - + - + - + - + - + - + - + - + @@ -148,9 +161,9 @@ - + - + @@ -160,7 +173,7 @@ - + @@ -175,23 +188,23 @@ - + - - - - + + + + - + - - + + @@ -200,8 +213,8 @@ - - + + @@ -210,46 +223,46 @@ - + - + - + - + - + - + - - - + + + - + - + - - - + + + @@ -263,25 +276,25 @@ - - - - - - + + + + + + - + - + - + - + - +
@@ -300,7 +313,8 @@
+ diff --git a/SAPlugin_8h__incl.map b/SAPlugin_8h__incl.map index e29b9dc3..938c8254 100644 --- a/SAPlugin_8h__incl.map +++ b/SAPlugin_8h__incl.map @@ -1,50 +1,50 @@ - - - + + + - - - + + + - - - - - - - - - + + + + + + + + + - + - + - + - + - + - + - + - + - + @@ -57,9 +57,9 @@ - + - + @@ -69,7 +69,7 @@ - + @@ -84,23 +84,23 @@ - + - - - - + + + + - + - - + + @@ -109,8 +109,8 @@ - - + + @@ -119,46 +119,46 @@ - + - + - + - + - + - + - - - + + + - + - + - - - + + + @@ -172,24 +172,24 @@ - - - - - - + + + + + + - + - + - + - + - + diff --git a/SAPlugin_8h__incl.png b/SAPlugin_8h__incl.png index 53b04714..6b80ce88 100644 Binary files a/SAPlugin_8h__incl.png and b/SAPlugin_8h__incl.png differ diff --git a/SAPlugin_8h_source.html b/SAPlugin_8h_source.html index c069bc79..3692c253 100644 --- a/SAPlugin_8h_source.html +++ b/SAPlugin_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SAPlugin.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SAPlugin.h
@@ -133,7 +141,8 @@
+ diff --git a/SensitivityMatcher_8h.html b/SensitivityMatcher_8h.html index 391bb528..bfa266e1 100644 --- a/SensitivityMatcher_8h.html +++ b/SensitivityMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/SensitivityMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -94,7 +107,7 @@
- + @@ -103,13 +116,13 @@ - + - +
@@ -118,22 +131,22 @@
- + - + - + - + @@ -142,25 +155,25 @@ - + - + - - + + - - + + - + @@ -170,21 +183,21 @@ - + - + - + @@ -194,7 +207,7 @@ - + @@ -218,7 +231,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -254,7 +267,8 @@

+ diff --git a/SensitivityMatcher_8h__dep__incl.map b/SensitivityMatcher_8h__dep__incl.map index 67a92075..fd1aa9c0 100644 --- a/SensitivityMatcher_8h__dep__incl.map +++ b/SensitivityMatcher_8h__dep__incl.map @@ -1,21 +1,21 @@ - + - + - + - + @@ -24,25 +24,25 @@ - + - + - - + + - - + + - + @@ -52,21 +52,21 @@ - + - + - + @@ -76,7 +76,7 @@ - + diff --git a/SensitivityMatcher_8h__dep__incl.png b/SensitivityMatcher_8h__dep__incl.png index 457388e3..f9d28be2 100644 Binary files a/SensitivityMatcher_8h__dep__incl.png and b/SensitivityMatcher_8h__dep__incl.png differ diff --git a/SensitivityMatcher_8h__incl.map b/SensitivityMatcher_8h__incl.map index a408ba75..0c50b5ca 100644 --- a/SensitivityMatcher_8h__incl.map +++ b/SensitivityMatcher_8h__incl.map @@ -1,5 +1,5 @@ - + @@ -8,11 +8,11 @@ - + - + diff --git a/SensitivityMatcher_8h_source.html b/SensitivityMatcher_8h_source.html index e7134ddd..bdecf62b 100644 --- a/SensitivityMatcher_8h_source.html +++ b/SensitivityMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers/SensitivityMatcher.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
SensitivityMatcher.h
@@ -119,7 +127,7 @@
47 typedef std::vector<
48 std::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *,
49 clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt*>>
- +
51
53 std::vector<std::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *,
54 clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt*>>
@@ -128,7 +136,7 @@
57 clang::VarDecl *vd_;
58
59 public:
- +
62
64 void registerMatchers(MatchFinder &finder, clang::VarDecl *vd) {
@@ -266,16 +274,16 @@
212 public:
218
219 typedef std::tuple<std::string, clang::ValueDecl*, clang::MemberExpr*, clang::VarDecl*, clang::ArraySubscriptExpr*, clang::ForStmt*>
- +
221
223 typedef std::pair<std::string, std::vector<SensitivityTupleType>>
- +
225
227 //typedef std::map<std::string, std::vector<SensitivityTupleType>> SenseMapType;
-
228 typedef std::map<std::string, std::vector<SensitivityTupleType>> SenseMapType;
+
228 typedef std::map<std::string, std::vector<SensitivityTupleType>> SenseMapType;
229
230 private:
- +
233
235 clang::VarDecl *process_handle_;
236
@@ -294,7 +302,7 @@
249
250 public:
- +
256
259 void registerMatchers(MatchFinder &finder) {
@@ -417,7 +425,7 @@
381 // LLVM_DEBUG(call_matcher.dump());
382 auto entry{call_matcher.getCallerCallee()};
383 sensitivity_.insert(
- +
385 }
386
390 if (cxx_mcall) {
@@ -428,7 +436,7 @@
395
396 auto entry{call_matcher.getCallerCallee()};
397 sensitivity_.insert(
- +
399 }
400
403 if (array_expr) {
@@ -448,7 +456,7 @@
417 process_handle_, array_expr, for_stmt));
418
419 sensitivity_.insert(
- +
421 }
422 }
423 LLVM_DEBUG(dump());
@@ -497,11 +505,11 @@
void dump()
Dump out the caller and callee found in the sensitivity list.
-
std::vector< std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > > CallerCalleeType
CallerCalleeType getCallerCallee() const
This returns a list of all the caller and callees that are identified.
virtual void run(const MatchFinder::MatchResult &result)
This is the callback function when there is a match.
void registerMatchers(MatchFinder &finder, clang::VarDecl *vd)
Register the matchers to identify caller and callees.
+
std::vector< std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > > CallerCalleeType
std::vector< std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > > calls_
Store the information.
virtual void run(const MatchFinder::MatchResult &result)
@@ -514,13 +522,13 @@
virtual void run(const MatchFinder::MatchResult &result)
This is the callback function whenever there is a match.
-
std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
This is the pair for inserting key-value entries in the map.
+
std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
This is the pair for inserting key-value entries in the map.
+
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
The key is going to be the name of the FieldDecl/VarDecl.
+
std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
clang::VarDecl * process_handle_
This provides access to the SystemC process' entry function handler.
void registerMatchers(MatchFinder &finder)
Defines the matcher, and setup the matcher.
-
std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
The key is going to be the name of the FieldDecl/VarDecl.
SenseMapType getSensitivityMap()
Return the sensitivity map that has been created.
void dump()
Dump out the detected sensitivity list for every process.
-
std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
std::string generateSensitivityName(const std::vector< SensitivityTupleType > &sense_args)
This generates an encoded name of the argument for the sensitivity.
SenseMapType sensitivity_
This is the map structure to store the identified sensitivity list.
@@ -530,7 +538,8 @@
+
diff --git a/SignalDecl_8cpp.html b/SignalDecl_8cpp.html index 217313c9..143f60da 100644 --- a/SignalDecl_8cpp.html +++ b/SignalDecl_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/SignalDecl.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
SignalDecl.cpp File Reference
@@ -95,31 +108,31 @@ - - + + - + - + - - - - - - - - + + + + + + + + - + - + - +
@@ -127,7 +140,8 @@ + diff --git a/SignalDecl_8cpp__incl.map b/SignalDecl_8cpp__incl.map index 8592dfd8..3bd97314 100644 --- a/SignalDecl_8cpp__incl.map +++ b/SignalDecl_8cpp__incl.map @@ -6,29 +6,29 @@ - - + + - + - + - - - - - - - - + + + + + + + + - + - + - +
diff --git a/SignalDecl_8cpp__incl.png b/SignalDecl_8cpp__incl.png index 6ea70197..92c43d0a 100644 Binary files a/SignalDecl_8cpp__incl.png and b/SignalDecl_8cpp__incl.png differ diff --git a/SignalDecl_8cpp_source.html b/SignalDecl_8cpp_source.html index 1db64622..db0014de 100644 --- a/SignalDecl_8cpp_source.html +++ b/SignalDecl_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/SignalDecl.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SignalDecl.cpp
@@ -151,7 +159,8 @@
+ diff --git a/SignalDecl_8h.html b/SignalDecl_8h.html index c4491bcd..5551a024 100644 --- a/SignalDecl_8h.html +++ b/SignalDecl_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/SignalDecl.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -105,9 +118,9 @@ - + - + @@ -128,8 +141,8 @@ - - + + @@ -137,7 +150,7 @@ - + @@ -153,7 +166,7 @@ - + @@ -179,13 +192,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/SignalDecl_8h__dep__incl.map b/SignalDecl_8h__dep__incl.map index 70208050..6feb3f51 100644 --- a/SignalDecl_8h__dep__incl.map +++ b/SignalDecl_8h__dep__incl.map @@ -2,9 +2,9 @@ - + - + @@ -25,8 +25,8 @@ - - + + @@ -34,7 +34,7 @@ - + @@ -50,7 +50,7 @@ - + diff --git a/SignalDecl_8h__dep__incl.png b/SignalDecl_8h__dep__incl.png index 93ad3b8f..db9ebb77 100644 Binary files a/SignalDecl_8h__dep__incl.png and b/SignalDecl_8h__dep__incl.png differ diff --git a/SignalDecl_8h_source.html b/SignalDecl_8h_source.html index 1eda27ad..93a875b8 100644 --- a/SignalDecl_8h_source.html +++ b/SignalDecl_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/SignalDecl.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
SignalDecl.h
@@ -133,7 +141,8 @@
+ diff --git a/SplitCFGBlock_8cpp.html b/SplitCFGBlock_8cpp.html index dba5aedf..48ffcf99 100644 --- a/SplitCFGBlock_8cpp.html +++ b/SplitCFGBlock_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFGBlock.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
SplitCFGBlock.cpp File Reference
@@ -104,7 +117,8 @@
+ diff --git a/SplitCFGBlock_8cpp_source.html b/SplitCFGBlock_8cpp_source.html index 82cdda0b..ca145a55 100644 --- a/SplitCFGBlock_8cpp_source.html +++ b/SplitCFGBlock_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFGBlock.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SplitCFGBlock.cpp
@@ -310,7 +318,8 @@
+ diff --git a/SplitCFGBlock_8h.html b/SplitCFGBlock_8h.html index 1b917879..18657394 100644 --- a/SplitCFGBlock_8h.html +++ b/SplitCFGBlock_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFGBlock.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,9 +105,9 @@
- + - + @@ -135,7 +148,7 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 

@@ -171,7 +184,8 @@

+ diff --git a/SplitCFGBlock_8h__incl.map b/SplitCFGBlock_8h__incl.map index b315da2b..4edee5ce 100644 --- a/SplitCFGBlock_8h__incl.map +++ b/SplitCFGBlock_8h__incl.map @@ -1,8 +1,8 @@ - + - + diff --git a/SplitCFGBlock_8h_source.html b/SplitCFGBlock_8h_source.html index cf3a0331..8ddd37da 100644 --- a/SplitCFGBlock_8h_source.html +++ b/SplitCFGBlock_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFGBlock.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
SplitCFGBlock.h
@@ -359,7 +367,8 @@
+ diff --git a/SplitCFG_8cpp.html b/SplitCFG_8cpp.html index 9999dcc5..b85ff47d 100644 --- a/SplitCFG_8cpp.html +++ b/SplitCFG_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFG.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
SplitCFG.cpp File Reference
@@ -95,7 +108,7 @@ - + @@ -122,7 +135,8 @@
+ diff --git a/SplitCFG_8cpp__incl.map b/SplitCFG_8cpp__incl.map index 0b5787f9..31298fbf 100644 --- a/SplitCFG_8cpp__incl.map +++ b/SplitCFG_8cpp__incl.map @@ -5,7 +5,7 @@ - + diff --git a/SplitCFG_8cpp_source.html b/SplitCFG_8cpp_source.html index 4fb68825..3a4e002c 100644 --- a/SplitCFG_8cpp_source.html +++ b/SplitCFG_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFG.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SplitCFG.cpp
@@ -259,7 +267,7 @@
162
164 int id{-1};
165 if (!popping_) {
-
166 llvm::dbgs() << "Visit Parent BB# " << ParentBB->getBlockID() << " ; ";
+
166 llvm::dbgs() << "Visit Parent BB# " << ParentBB->getBlockID() << " ; ";
167 SupplementaryInfo info{ParentBB};
168 info.path_idx_ = curr_path.size();
169 curr_path.push_back(std::make_pair(ParentBB, info));
@@ -815,7 +823,7 @@
725 // Must be true.
726 // bool already_exists{scit != sccfg_.end()};
727 new_split = scit->second;
-
728 new_split->id_ = block->getBlockID();
+
728 new_split->id_ = block->getBlockID();
729
730 // Successor: succ block from CFGBlock (overridden)
732 if (split_elements.size() == 1) {
@@ -848,7 +856,7 @@
762 sccfg_.insert(std::make_pair(block->getBlockID() * 10 + id, new_split));
763 }
764
-
765 new_split->block_ = block;
+
765 new_split->block_ = block;
766 new_split->has_wait_ = elements.second;
767 new_split->elements_ = elements.first;
768
@@ -1237,7 +1245,7 @@
1127 for (auto const& block : sccfg_) {
1128 SplitCFGBlock* sblock{block.second};
1129 for (auto const& succ : sblock->successors_) {
-
1130 dotos << "SB" << sblock->getBlockID();
+
1130 dotos << "SB" << sblock->getBlockID();
1131 dotos << " -> SB" << succ->getBlockID() << "\n";
1132 }
1133 }
@@ -1273,135 +1281,248 @@
1155 : context_{context},
1156 next_state_count_{0},
1157 popping_{false},
-
1158 has_ternary_op_{false} {}
+
1158 outter_top_(nullptr),
+
1159 has_ternary_op_{false} {}
-
1159// true_path_{false},
-
1160// false_path_{false} {}
-
1161
-
-
1162SplitCFG::SplitCFG(clang::ASTContext& context,
-
1163 const clang::CXXMethodDecl* method)
-
1164 : context_{context},
-
1165 next_state_count_{0},
-
1166 popping_{false},
-
1167 has_ternary_op_{false} {
-
1168 // true_path_{false},
-
1169 // false_path_{false} {
-
1170 construct_sccfg(method);
-
1171}
+
1160// true_path_{false},
+
1161// false_path_{false} {}
+
1162
+
+
1163SplitCFG::SplitCFG(clang::ASTContext& context,
+
1164 const clang::CXXMethodDecl* method)
+
1165 : context_{context},
+
1166 next_state_count_{0},
+
1167 popping_{false},
+
1168 outter_top_(nullptr),
+
1169 has_ternary_op_{false} {
+
1170 // true_path_{false},
+
1171 // false_path_{false} {
+
1172 construct_sccfg(method);
+
1173}
-
1172
-
-
1173std::map<SplitCFGBlock*, SplitCFGBlock*> SplitCFG::getConfluenceBlocks() const {
-
1174 return cop_;
-
1175}
+
1174
+
+
1175std::map<SplitCFGBlock*, SplitCFGBlock*> SplitCFG::getConfluenceBlocks() const {
+
1176 return cop_;
+
1177}
-
1176
-
-
1177std::set<SplitCFGBlock*> SplitCFG::identifySkipBlocks() {
-
1178 llvm::dbgs() << "########### BFS Identify confluence blocks ############ \n";
-
1179 std::queue<SplitCFGBlock*> Q{};
-
1180 std::set<SplitCFGBlock*> discovered{};
-
1181
- -
1183 SplitCFGBlock* target = cop_[outter_top];
-
1184 llvm::dbgs() << "Outter ternop is BB" << outter_top->getBlockID() << " and confluence block is BB" << target->getBlockID() << "\n";
-
1185 // if (!source) v = sccfg_[cfg_->getEntry().getBlockID()];
-
1186 // else v = source;
-
1187
-
1188 discovered.insert(v);
+
1178
+
+
1179std::set<SplitCFGBlock*> SplitCFG::identifySkipBlocks() {
+
1180 llvm::dbgs() << "########### BFS Identify confluence blocks ############ \n";
+
1181 std::queue<SplitCFGBlock*> Q{};
+
1182 std::set<SplitCFGBlock*> discovered{};
+
1183
+
1184 SplitCFGBlock* v{outter_top};
+
1185 SplitCFGBlock* target = cop_[outter_top];
+
1186 llvm::dbgs() << "Outter ternop is BB" << outter_top->getBlockID() << " and confluence block is BB" << target->getBlockID() << "\n";
+
1187 // if (!source) v = sccfg_[cfg_->getEntry().getBlockID()];
+
1188 // else v = source;
1189
-
1190 Q.push(v);
+
1190 discovered.insert(v);
1191
-
1192 while (!Q.empty()) {
-
1193 v = Q.front();
-
1194 Q.pop();
-
1195 llvm::dbgs() << "visited " << v->getBlockID() << "\n";
-
1196
-
1197 for (auto succ : v->getCFGBlock()->succs()) {
-
1198 if (succ && (v->getBlockID() != target->getBlockID())) {
-
1199 auto blk{sccfg_[succ->getBlockID()]};
-
1200 if (discovered.find(blk) == discovered.end()) {
-
1201 discovered.insert(blk);
-
1202 Q.push(blk);
-
1203 }
-
1204 }
-
1205 }
-
1206 }
-
1207
-
1208 llvm::dbgs() << "Discovered\n";
-
1209 for (auto disc : discovered ) {
-
1210 llvm::dbgs() << disc->getBlockID() <<" ";
-
1211
-
1212 }
-
1213 return discovered;
-
1214}
+
1192 Q.push(v);
+
1193
+
1194 while (!Q.empty()) {
+
1195 v = Q.front();
+
1196 Q.pop();
+
1197 llvm::dbgs() << "visited " << v->getBlockID() << "\n";
+
1198
+
1199 for (auto succ : v->getCFGBlock()->succs()) {
+
1200 if (succ && (v->getBlockID() != target->getBlockID())) {
+
1201 auto blk{sccfg_[succ->getBlockID()]};
+
1202 if (discovered.find(blk) == discovered.end()) {
+
1203 discovered.insert(blk);
+
1204 Q.push(blk);
+
1205 }
+
1206 }
+
1207 }
+
1208 }
+
1209
+
1210 llvm::dbgs() << "Discovered\n";
+
1211 for (auto disc : discovered ) {
+
1212 llvm::dbgs() << disc->getBlockID() <<" ";
+
1213
+
1214 }
+
1215 return discovered;
+
1216}
-
1215
-
- -
1217 llvm::dbgs() << "########### Identify confluence blocks ############ \n";
-
1218
-
1219 // ConditionalOperator block => Confluence Block
-
1220 // std::map<SplitFGBlock*, SplitCFGBlock*> cop_;
-
1221 std::vector<SplitCFGBlock*> ternops;
-
1222
-
1223 std::vector<SplitCFGBlock*> S{};
-
1224 std::set<SplitCFGBlock*> discovered{};
-
1225 // Do DFS whenever you reach a conditional operator block.
-
1226 SplitCFGBlock* v = sccfg_[cfg_->getEntry().getBlockID()];
-
1227
-
1228 SplitCFGBlock* outter{nullptr};
+
1217
+
+ +
1219 llvm::dbgs() << "########### Identify confluence blocks ############ \n";
+
1220
+
1221 // ConditionalOperator block => Confluence Block
+
1222 // std::map<SplitFGBlock*, SplitCFGBlock*> cop_;
+
1223 std::vector<SplitCFGBlock*> ternops;
+
1224
+
1225 std::vector<SplitCFGBlock*> S{};
+
1226 std::set<SplitCFGBlock*> discovered{};
+
1227 // Do DFS whenever you reach a conditional operator block.
+
1228 SplitCFGBlock* v = sccfg_[cfg_->getEntry().getBlockID()];
1229
-
1230 S.push_back(v);
-
1231 while (!S.empty()) {
-
1232 v = S.back();
-
1233 S.pop_back();
-
1234 if (discovered.find(v) == discovered.end()) {
-
1235 discovered.insert(v);
-
1236 llvm::dbgs() << "visited " << v->getBlockID() << "\n";
-
1237
-
1238 // Found ConditionalOperator
-
1239 auto stmt{v->getCFGBlock()->getTerminatorStmt()};
-
1240 if (stmt && clang::dyn_cast<clang::ConditionalOperator>(stmt)) {
-
1241 llvm::dbgs() << "Found a TERNARY OP block\n";
-
1242
-
1243 if (!outter) outter = v;
+
1230 SplitCFGBlock* outter{nullptr};
+
1231
+
1232 S.push_back(v);
+
1233 while (!S.empty()) {
+
1234 v = S.back();
+
1235 S.pop_back();
+
1236 if (discovered.find(v) == discovered.end()) {
+
1237 discovered.insert(v);
+
1238 llvm::dbgs() << "visited " << v->getBlockID() << "\n";
+
1239
+
1240 // Found ConditionalOperator
+
1241 auto stmt{v->getCFGBlock()->getTerminatorStmt()};
+
1242 if (stmt && clang::dyn_cast<clang::ConditionalOperator>(stmt)) {
+
1243 llvm::dbgs() << "Found a TERNARY OP block\n";
1244
-
1245 cop_.insert(std::make_pair(v, nullptr));
-
1246 ternops.push_back(v);
-
1247
-
1248 } else if (ternops.size() > 0) {
-
1249 auto top_cop{ternops.back()};
-
1250 // Successor is the confluence
-
1251 if (v->getCFGBlock()->succ_size() == 1) {
-
1252 auto conf_blk{*v->getCFGBlock()->succ_begin()};
-
1253 llvm::dbgs() << "Found confluence block of " << conf_blk->getBlockID()
-
1254 << " from block " << v->getBlockID() << " of "
-
1255 << top_cop->getBlockID() << "\n";
-
1256 cop_[top_cop] = sccfg_[conf_blk->getBlockID()];
-
1257
-
1258 conf_blk->dump();
-
1259 ternops.pop_back();
-
1260 }
-
1261 }
-
1262
-
1263 for (auto next_v : v->getCFGBlock()->succs()) {
-
1264 if (next_v) S.push_back(sccfg_[next_v->getBlockID()]);
-
1265 }
-
1266 }
-
1267 }
-
1268 // Print the cop map.
-
1269 llvm::dbgs() << "Block ids for COP ";
-
1270 for (auto& co : cop_) {
-
1271 llvm::dbgs() << co.first->getBlockID() << " :=> " << co.second->getBlockID()
-
1272 << " ; ";
-
1273 }
-
1274 llvm::dbgs() << "\n";
-
1275
-
1276 outter_top = outter;
-
1277}
+
1245 if (!outter) outter = v;
+
1246
+
1247 cop_.insert(std::make_pair(v, nullptr));
+
1248 ternops.push_back(v);
+
1249
+
1250 } else if (ternops.size() > 0) {
+
1251 auto top_cop{ternops.back()};
+
1252 // Successor is the confluence
+
1253 if (v->getCFGBlock()->succ_size() == 1) {
+
1254 auto conf_blk{*v->getCFGBlock()->succ_begin()};
+
1255 llvm::dbgs() << "Found confluence block of " << conf_blk->getBlockID()
+
1256 << " from block " << v->getBlockID() << " of "
+
1257 << top_cop->getBlockID() << "\n";
+
1258 cop_[top_cop] = sccfg_[conf_blk->getBlockID()];
+
1259
+
1260 conf_blk->dump();
+
1261 ternops.pop_back();
+
1262 }
+
1263 }
+
1264
+
1265 for (auto next_v : v->getCFGBlock()->succs()) {
+
1266 if (next_v) S.push_back(sccfg_[next_v->getBlockID()]);
+
1267 }
+
1268 }
+
1269 }
+
1270 // Print the cop map.
+
1271 llvm::dbgs() << "Block ids for COP ";
+
1272 for (auto& co : cop_) {
+
1273 llvm::dbgs() << co.first->getBlockID() << " :=> " << co.second->getBlockID()
+
1274 << " ; ";
+
1275 }
+
1276 llvm::dbgs() << "\n";
+
1277
+
1278 outter_top = outter;
+
1279}
+
1280||||||| fa72a6a0
+
1281=======
+
1282
+
1283std::map<SplitCFGBlock*, SplitCFGBlock*> SplitCFG::getConfluenceBlocks() const {
+
1284 return cop_;
+
1285}
+
1286
+
1287std::set<SplitCFGBlock*> SplitCFG::identifySkipBlocks() {
+
1288 llvm::dbgs() << "########### BFS Identify confluence blocks ############ \n";
+
1289 std::queue<SplitCFGBlock*> Q{};
+
1290 std::set<SplitCFGBlock*> discovered{};
+
1291
+
1292 if (!outter_top_) return discovered;
+
1293
+ +
1295 SplitCFGBlock* target = cop_[outter_top_];
+
1296 llvm::dbgs() << "Outter ternop is BB" << outter_top_->getBlockID() << " and confluence block is BB" << target->getBlockID() << "\n";
+
1297 // if (!source) v = sccfg_[cfg_->getEntry().getBlockID()];
+
1298 // else v = source;
+
1299
+
1300 discovered.insert(v);
+
1301
+
1302 Q.push(v);
+
1303
+
1304 while (!Q.empty()) {
+
1305 v = Q.front();
+
1306 Q.pop();
+
1307 llvm::dbgs() << "visited " << v->getBlockID() << "\n";
+
1308
+
1309 for (auto succ : v->getCFGBlock()->succs()) {
+
1310 if (succ && (v->getBlockID() != target->getBlockID())) {
+
1311 auto blk{sccfg_[succ->getBlockID()]};
+
1312 if (discovered.find(blk) == discovered.end()) {
+
1313 discovered.insert(blk);
+
1314 Q.push(blk);
+
1315 }
+
1316 }
+
1317 }
+
1318 }
+
1319
+
1320 llvm::dbgs() << "Discovered\n";
+
1321 for (auto disc : discovered ) {
+
1322 llvm::dbgs() << disc->getBlockID() <<" ";
+
1323
+
1324 }
+
1325 return discovered;
+
1326}
+
1327
+ +
1329 llvm::dbgs() << "########### Identify confluence blocks ############ \n";
+
1330
+
1331 // ConditionalOperator block => Confluence Block
+
1332 // std::map<SplitFGBlock*, SplitCFGBlock*> cop_;
+
1333 std::vector<SplitCFGBlock*> ternops;
+
1334
+
1335 std::vector<SplitCFGBlock*> S{};
+
1336 std::set<SplitCFGBlock*> discovered{};
+
1337 // Do DFS whenever you reach a conditional operator block.
+
1338 SplitCFGBlock* v = sccfg_[cfg_->getEntry().getBlockID()];
+
1339
+
1340 SplitCFGBlock* outter{nullptr};
+
1341
+
1342 S.push_back(v);
+
1343 while (!S.empty()) {
+
1344 v = S.back();
+
1345 S.pop_back();
+
1346 if (discovered.find(v) == discovered.end()) {
+
1347 discovered.insert(v);
+
1348 llvm::dbgs() << "visited " << v->getBlockID() << "\n";
+
1349
+
1350 // Found ConditionalOperator
+
1351 auto stmt{v->getCFGBlock()->getTerminatorStmt()};
+
1352 if (stmt && clang::dyn_cast<clang::ConditionalOperator>(stmt)) {
+
1353 llvm::dbgs() << "Found a TERNARY OP block\n";
+
1354
+
1355 if (!outter) outter = v;
+
1356
+
1357 cop_.insert(std::make_pair(v, nullptr));
+
1358 ternops.push_back(v);
+
1359
+
1360 } else if (ternops.size() > 0) {
+
1361 auto top_cop{ternops.back()};
+
1362 // Successor is the confluence
+
1363 if (v->getCFGBlock()->succ_size() == 1) {
+
1364 auto conf_blk{*v->getCFGBlock()->succ_begin()};
+
1365 llvm::dbgs() << "Found confluence block of " << conf_blk->getBlockID()
+
1366 << " from block " << v->getBlockID() << " of "
+
1367 << top_cop->getBlockID() << "\n";
+
1368 cop_[top_cop] = sccfg_[conf_blk->getBlockID()];
+
1369
+
1370 conf_blk->dump();
+
1371 ternops.pop_back();
+
1372 }
+
1373 }
+
1374
+
1375 for (auto next_v : v->getCFGBlock()->succs()) {
+
1376 if (next_v) S.push_back(sccfg_[next_v->getBlockID()]);
+
1377 }
+
1378 }
+
1379 }
+
1380 // Print the cop map.
+
1381 llvm::dbgs() << "Block ids for COP ";
+
1382 for (auto& co : cop_) {
+
1383 llvm::dbgs() << co.first->getBlockID() << " :=> " << co.second->getBlockID()
+
1384 << " ; ";
+
1385 }
+
1386 llvm::dbgs() << "\n";
+
1387
+
1388 outter_top_ = outter;
+
1389}
+
1390>>>>>>> scratchllnl
SuccessorIterator::const_iterator const_succ_iterator
@@ -1409,6 +1530,7 @@
llvm::SmallVector< const SplitCFGBlock * > predecessors_
Predecessors and successors.
void setNextState(unsigned int state)
const clang::CFGBlock * getCFGBlock() const
Returns the pointer to the original CFGBlock from which the SplitCFGBlock was created.
+
const clang::CFGBlock * block_
A pointer to the original CFGBlock.
bool isLoopWithTwoSuccessors() const
Return whether the SplitCFGBlock is a loop CFGBlock with two succesors.
unsigned int id_
The block id.
@@ -1440,15 +1562,15 @@
void dumpWaitNextStates() const
Definition SplitCFG.cpp:848
void dump() const
Dump member functions.
llvm::SmallVector< const SplitCFGBlock * > VectorSplitCFGBlock
Definition SplitCFG.h:98
-
void dumpSmallVector(llvm::SmallVectorImpl< T > &vlist)
Definition SplitCFG.h:247
+
void dumpSmallVector(llvm::SmallVectorImpl< T > &vlist)
Definition SplitCFG.h:251
bool isElementWait(const clang::CFGElement &element) const
Checks if a CFGBlock has a wait() call in it.
Definition SplitCFG.cpp:614
void updateVisitedBlocks(llvm::SmallPtrSetImpl< const SplitCFGBlock * > &to, const llvm::SmallPtrSetImpl< const SplitCFGBlock * > &from)
Definition SplitCFG.cpp:437
void dumpPathInfo() const
Definition SplitCFG.cpp:936
void generate_paths()
Generates the paths between wait statements.
Definition SplitCFG.cpp:836
clang::ASTContext & context_
The context necessary to access translation unit.
Definition SplitCFG.h:106
-
void identifyConfluenceBlocks()
Identify confluence blocks in the CFG.
+
void identifyConfluenceBlocks()
Identify confluence blocks in the CFG.
bool getUnvisitedSuccessor(const SplitCFGBlock *curr_block, SplitCFGBlock::const_succ_iterator &I, llvm::SmallPtrSetImpl< const SplitCFGBlock * > &visited, const SplitCFGBlock *&block)
Definition SplitCFG.cpp:472
-
std::set< SplitCFGBlock * > identifySkipBlocks()
+
std::set< SplitCFGBlock * > identifySkipBlocks()
bool isTruePath(const SplitCFGBlock *parent_block, const SplitCFGBlock *block) const
Definition SplitCFG.cpp:419
void setFalsePathInfo(const SplitCFGBlock *sblock, const SplitCFGPath &newly_visited)
Definition SplitCFG.cpp:396
@@ -1458,8 +1580,9 @@
void dumpCurrPath(SplitCFGPath &curr_path) const
Definition SplitCFG.cpp:861
llvm::SmallVector< SplitCFGPathPair > SplitCFGPath
Definition SplitCFG.h:95
void addSuccessors(SplitCFGBlock *to, const clang::CFGBlock *from)
Add successors to the SplitCFGBlock.
Definition SplitCFG.cpp:788
- +
llvm::APInt getWaitArgument(const clang::CFGElement &element) const
Returns the argument to a wait statement. Note that the only one supported are no arguments or intege...
Definition SplitCFG.cpp:583
+
SplitCFGBlock * outter_top_
This is the pointer to the outtermost ternary operator.
Definition SplitCFG.h:147
llvm::SmallVector< SplitCFGPath > paths_
Paths of BBs generated.
Definition SplitCFG.h:115
bool isLoopWithTwoSuccessors(const SplitCFGBlock *block) const
Definition SplitCFG.cpp:445
void setDifference(const llvm::SmallPtrSetImpl< const SplitCFGBlock * > &larger, const llvm::SmallPtrSetImpl< const SplitCFGBlock * > &smaller, llvm::SmallPtrSetImpl< const SplitCFGBlock * > &to)
Compute the set difference between two SmallPtrSets.
Definition SplitCFG.cpp:408
@@ -1473,8 +1596,7 @@
const std::unordered_map< const SplitCFGBlock *, SplitCFGPathInfo > & getPathInfo() const
void createWaitSplitCFGBlocks(clang::CFGBlock *block, const llvm::SmallVectorImpl< std::pair< VectorCFGElementPtr, bool > > &split_elements)
Creates the SplitCFGBlocks for CFGBlock with a wait.
Definition SplitCFG.cpp:705
bool isTernaryOperator(const SplitCFGBlock *block) const
Checks if the block is contains a terminator that is a ternary operator.
Definition SplitCFG.cpp:498
-
SplitCFGBlock * outter_top
Definition SplitCFG.h:244
-
std::map< SplitCFGBlock *, SplitCFGBlock * > getConfluenceBlocks() const
Rework.
+
std::map< SplitCFGBlock *, SplitCFGBlock * > getConfluenceBlocks() const
Rework.
llvm::SmallVector< const clang::CFGElement * > VectorCFGElementPtr
Definition SplitCFG.h:102
void createUnsplitBlocks()
Creates SplitCFGBlocks for all CFGBlocks that do not have a wiat. splitBlock() creates the SplitCFGBl...
Definition SplitCFG.cpp:948
std::map< SplitCFGBlock *, SplitCFGBlock * > cop_
Definition SplitCFG.h:144
@@ -1505,7 +1627,8 @@
+
diff --git a/SplitCFG_8h.html b/SplitCFG_8h.html index 10de1393..4dd1f222 100644 --- a/SplitCFG_8h.html +++ b/SplitCFG_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFG.h File Reference + + @@ -32,23 +34,33 @@
- + + + +
Classes | @@ -98,7 +111,7 @@ - + @@ -141,13 +154,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/SplitCFG_8h__incl.map b/SplitCFG_8h__incl.map index 3dbd3abf..4a1b0619 100644 --- a/SplitCFG_8h__incl.map +++ b/SplitCFG_8h__incl.map @@ -5,7 +5,7 @@ - + diff --git a/SplitCFG_8h_source.html b/SplitCFG_8h_source.html index 3b2efd7b..0c699455 100644 --- a/SplitCFG_8h_source.html +++ b/SplitCFG_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg/SplitCFG.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
SplitCFG.h
@@ -204,155 +212,158 @@
141
144 std::map<SplitCFGBlock*,SplitCFGBlock*> cop_;
145
-
146 private:
-
148 bool isElementWait(const clang::CFGElement &element) const;
-
149
-
152 void splitBlock(clang::CFGBlock *block);
-
153
-
155 void addSuccessors(SplitCFGBlock *to, const clang::CFGBlock *from);
+ +
148
+
149 private:
+
151 bool isElementWait(const clang::CFGElement &element) const;
+
152
+
155 void splitBlock(clang::CFGBlock *block);
156
-
158 void addPredecessors(SplitCFGBlock *to, const clang::CFGBlock *from);
+
158 void addSuccessors(SplitCFGBlock *to, const clang::CFGBlock *from);
159
- -
161
-
165 void createUnsplitBlocks();
-
166
- -
169 clang::CFGBlock *block,
-
170 const llvm::SmallVectorImpl<std::pair<VectorCFGElementPtr, bool>>
- -
172
- -
175 const llvm::SmallVector<std::pair<VectorCFGElementPtr, bool>>
-
176 &split_elements) const;
-
177
-
178 void dumpSCCFG() const;
-
179
-
180 public:
-
182 SplitCFG(clang::ASTContext &context);
-
184 SplitCFG(clang::ASTContext &context, const clang::CXXMethodDecl *cxx_decl);
-
185
-
187 SplitCFG(const SplitCFG &from) = delete;
+
161 void addPredecessors(SplitCFGBlock *to, const clang::CFGBlock *from);
+
162
+ +
164
+
168 void createUnsplitBlocks();
+
169
+ +
172 clang::CFGBlock *block,
+
173 const llvm::SmallVectorImpl<std::pair<VectorCFGElementPtr, bool>>
+ +
175
+ +
178 const llvm::SmallVector<std::pair<VectorCFGElementPtr, bool>>
+
179 &split_elements) const;
+
180
+
181 void dumpSCCFG() const;
+
182
+
183 public:
+
185 SplitCFG(clang::ASTContext &context);
+
187 SplitCFG(clang::ASTContext &context, const clang::CXXMethodDecl *cxx_decl);
188
-
190 SplitCFG &operator=(const SplitCFG &) = delete;
+
190 SplitCFG(const SplitCFG &from) = delete;
191
-
193 virtual ~SplitCFG();
+
193 SplitCFG &operator=(const SplitCFG &) = delete;
194
-
196 // const llvm::SmallVectorImpl<VectorSplitCFGBlock> &
+
196 virtual ~SplitCFG();
197
-
198 const llvm::SmallVectorImpl<llvm::SmallVector<SplitCFGPathPair>>
-
199 &getPathsFound();
+
199 // const llvm::SmallVectorImpl<VectorSplitCFGBlock> &
200
-
202 void construct_sccfg(const clang::CXXMethodDecl *method);
+
201 const llvm::SmallVectorImpl<llvm::SmallVector<SplitCFGPathPair>>
+
202 &getPathsFound();
203
-
205 void generate_paths();
+
205 void construct_sccfg(const clang::CXXMethodDecl *method);
206
-
207 const std::unordered_map<const SplitCFGBlock *, SplitCFGPathInfo>
-
208 &getPathInfo() const;
+
208 void generate_paths();
209
-
210 const llvm::SmallVector<std::unordered_map<const SplitCFGBlock *, SplitCFGPathInfo>>
-
211 &getAllPathInfo() const;
+
210 const std::unordered_map<const SplitCFGBlock *, SplitCFGPathInfo>
+
211 &getPathInfo() const;
212
-
213 void preparePathInfo();
-
216 llvm::APInt getWaitArgument(const clang::CFGElement &element) const;
-
217
-
218
-
220 void dump() const;
-
221 void dumpToDot() const;
-
222 void dumpWaitNextStates() const;
-
223 void dumpPaths() const;
-
224 void dumpCurrPath(
-
225 // llvm::SmallVector<std::pair<const SplitCFGBlock *, SplitCFGPathInfo>>
-
226 SplitCFGPath &curr_path) const;
-
227
-
228 void dumpPathInfo() const;
-
229 void dumpAllPathInfo() const;
+
213 const llvm::SmallVector<std::unordered_map<const SplitCFGBlock *, SplitCFGPathInfo>>
+
214 &getAllPathInfo() const;
+
215
+
216 void preparePathInfo();
+
219 llvm::APInt getWaitArgument(const clang::CFGElement &element) const;
+
220
+
221
+
223 void dump() const;
+
224 void dumpToDot() const;
+
225 void dumpWaitNextStates() const;
+
226 void dumpPaths() const;
+
227 void dumpCurrPath(
+
228 // llvm::SmallVector<std::pair<const SplitCFGBlock *, SplitCFGPathInfo>>
+
229 SplitCFGPath &curr_path) const;
230
-
232 //
-
233 //
-
234
-
235 // Identify confluence blocks.
+
231 void dumpPathInfo() const;
+
232 void dumpAllPathInfo() const;
+
233
+
235 //
236 //
-
238 std::map<SplitCFGBlock*,SplitCFGBlock*> getConfluenceBlocks() const;
-
239
- -
242 std::set<SplitCFGBlock*> identifySkipBlocks();
-
243
- -
245
-
246 template <typename T>
-
-
247 void dumpSmallVector(llvm::SmallVectorImpl<T> &vlist) {
-
248 for (const auto v : vlist) {
-
249 llvm::dbgs() << v.first->getBlockID() << " ";
-
250 }
-
251 }
+
237
+
238 // Identify confluence blocks.
+
239 //
+
241 std::map<SplitCFGBlock*,SplitCFGBlock*> getConfluenceBlocks() const;
+
242
+ +
245 std::set<SplitCFGBlock*> identifySkipBlocks();
+
246
+
247
+
248 public:
+
249
+
250 template <typename T>
+
+
251 void dumpSmallVector(llvm::SmallVectorImpl<T> &vlist) {
+
252 for (const auto v : vlist) {
+
253 llvm::dbgs() << v.first->getBlockID() << " ";
+
254 }
+
255 }
-
252
-
260
-
261 const SplitCFGPath // llvm::SmallVector<std::pair<const SplitCFGBlock *,
-
262 // SplitCFGPathInfo>>
- -
264 llvm::SmallPtrSet<const SplitCFGBlock *, 32> &visited_blocks,
-
265 llvm::SmallVectorImpl<const SplitCFGBlock *> &waits_to_visit,
-
266 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &visited_waits,
-
267 llvm::SmallVector<SplitCFGPathPair> &curr_path);
-
268 void dfs_rework();
-
269
-
272 bool isTernaryOperator(const SplitCFGBlock *block) const;
+
256
+
264
+
265 const SplitCFGPath // llvm::SmallVector<std::pair<const SplitCFGBlock *,
+
266 // SplitCFGPathInfo>>
+ +
268 llvm::SmallPtrSet<const SplitCFGBlock *, 32> &visited_blocks,
+
269 llvm::SmallVectorImpl<const SplitCFGBlock *> &waits_to_visit,
+
270 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &visited_waits,
+
271 llvm::SmallVector<SplitCFGPathPair> &curr_path);
+
272 void dfs_rework();
273
-
275 bool isLoop(const SplitCFGBlock *block) const;
-
276
-
280 bool isConditional(const SplitCFGBlock *block) const;
-
281
- - -
284 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &visited,
-
285 const SplitCFGBlock *&block);
-
286
-
287 bool isLoopWithTwoSuccessors(const SplitCFGBlock *block) const;
- -
289 bool parent_has_wait, const SplitCFGBlock *BB,
-
290 llvm::SmallVector<
-
291 std::pair<const SplitCFGBlock *, SplitCFGBlock::const_succ_iterator>,
-
292 8> &to_visit,
-
293 bool found);
-
294
-
295 bool isTruePath(const SplitCFGBlock *parent_block,
-
296 const SplitCFGBlock *block) const;
-
297
-
299 void setDifference(
-
300 const llvm::SmallPtrSetImpl<const SplitCFGBlock *> &larger,
-
301 const llvm::SmallPtrSetImpl<const SplitCFGBlock *> &smaller,
-
302 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &to);
-
303 void setTruePathInfo(const SplitCFGBlock *sblock,
-
304 // const llvm::SmallVector< std::pair<const SplitCFGBlock
-
305 // *, SplitCFGPathInfo>> &newly_visited,
-
306 const SplitCFGPath &newly_visited, int ix = -1);
-
307
-
308 void setFalsePathInfo(const SplitCFGBlock *sblock,
-
309 const SplitCFGPath &newly_visited);
-
310 // const llvm::SmallVector<
-
311 // std::pair<const SplitCFGBlock *, SplitCFGPathInfo>> &newly_visited);
-
312
-
313 void addPathToSpecialNode(const SplitCFGPath &from);
-
314
- -
316 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &to,
-
317 const llvm::SmallPtrSetImpl<const SplitCFGBlock *> &from);
-
318 void dumpVisitedBlocks(llvm::SmallPtrSetImpl<const SplitCFGBlock *> &visited);
-
319
- -
321
-
323 public:
-
324 // void make_edge_pairs( const SplitCFGBlock* block );
-
325};
+
276 bool isTernaryOperator(const SplitCFGBlock *block) const;
+
277
+
279 bool isLoop(const SplitCFGBlock *block) const;
+
280
+
284 bool isConditional(const SplitCFGBlock *block) const;
+
285
+ + +
288 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &visited,
+
289 const SplitCFGBlock *&block);
+
290
+
291 bool isLoopWithTwoSuccessors(const SplitCFGBlock *block) const;
+ +
293 bool parent_has_wait, const SplitCFGBlock *BB,
+
294 llvm::SmallVector<
+
295 std::pair<const SplitCFGBlock *, SplitCFGBlock::const_succ_iterator>,
+
296 8> &to_visit,
+
297 bool found);
+
298
+
299 bool isTruePath(const SplitCFGBlock *parent_block,
+
300 const SplitCFGBlock *block) const;
+
301
+
303 void setDifference(
+
304 const llvm::SmallPtrSetImpl<const SplitCFGBlock *> &larger,
+
305 const llvm::SmallPtrSetImpl<const SplitCFGBlock *> &smaller,
+
306 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &to);
+
307 void setTruePathInfo(const SplitCFGBlock *sblock,
+
308 // const llvm::SmallVector< std::pair<const SplitCFGBlock
+
309 // *, SplitCFGPathInfo>> &newly_visited,
+
310 const SplitCFGPath &newly_visited, int ix = -1);
+
311
+
312 void setFalsePathInfo(const SplitCFGBlock *sblock,
+
313 const SplitCFGPath &newly_visited);
+
314 // const llvm::SmallVector<
+
315 // std::pair<const SplitCFGBlock *, SplitCFGPathInfo>> &newly_visited);
+
316
+
317 void addPathToSpecialNode(const SplitCFGPath &from);
+
318
+ +
320 llvm::SmallPtrSetImpl<const SplitCFGBlock *> &to,
+
321 const llvm::SmallPtrSetImpl<const SplitCFGBlock *> &from);
+
322 void dumpVisitedBlocks(llvm::SmallPtrSetImpl<const SplitCFGBlock *> &visited);
+
323
+ +
325
+
327 public:
+
328 // void make_edge_pairs( const SplitCFGBlock* block );
+
329};
-
326
-
327}; // namespace systemc_clang
+
330
+
331}; // namespace systemc_clang
-
328
-
329#endif /* _SPLIT_CFG_H_ */
+
332
+
333#endif /* _SPLIT_CFG_H_ */
SuccessorIterator::const_iterator const_succ_iterator
@@ -387,15 +398,15 @@
void dump() const
Dump member functions.
SplitCFG(const SplitCFG &from)=delete
Disallow a copy constructor for SCCFG.
llvm::SmallVector< const SplitCFGBlock * > VectorSplitCFGBlock
Definition SplitCFG.h:98
-
void dumpSmallVector(llvm::SmallVectorImpl< T > &vlist)
Definition SplitCFG.h:247
+
void dumpSmallVector(llvm::SmallVectorImpl< T > &vlist)
Definition SplitCFG.h:251
bool isElementWait(const clang::CFGElement &element) const
Checks if a CFGBlock has a wait() call in it.
Definition SplitCFG.cpp:614
void updateVisitedBlocks(llvm::SmallPtrSetImpl< const SplitCFGBlock * > &to, const llvm::SmallPtrSetImpl< const SplitCFGBlock * > &from)
Definition SplitCFG.cpp:437
void dumpPathInfo() const
Definition SplitCFG.cpp:936
void generate_paths()
Generates the paths between wait statements.
Definition SplitCFG.cpp:836
clang::ASTContext & context_
The context necessary to access translation unit.
Definition SplitCFG.h:106
-
void identifyConfluenceBlocks()
Identify confluence blocks in the CFG.
+
void identifyConfluenceBlocks()
Identify confluence blocks in the CFG.
bool getUnvisitedSuccessor(const SplitCFGBlock *curr_block, SplitCFGBlock::const_succ_iterator &I, llvm::SmallPtrSetImpl< const SplitCFGBlock * > &visited, const SplitCFGBlock *&block)
Definition SplitCFG.cpp:472
-
std::set< SplitCFGBlock * > identifySkipBlocks()
+
std::set< SplitCFGBlock * > identifySkipBlocks()
std::pair< const SplitCFGBlock *, SupplementaryInfo > SplitCFGPathPair
Definition SplitCFG.h:94
bool isTruePath(const SplitCFGBlock *parent_block, const SplitCFGBlock *block) const
Definition SplitCFG.cpp:419
void setFalsePathInfo(const SplitCFGBlock *sblock, const SplitCFGPath &newly_visited)
Definition SplitCFG.cpp:396
@@ -406,9 +417,10 @@
void dumpCurrPath(SplitCFGPath &curr_path) const
Definition SplitCFG.cpp:861
llvm::SmallVector< SplitCFGPathPair > SplitCFGPath
Definition SplitCFG.h:95
void addSuccessors(SplitCFGBlock *to, const clang::CFGBlock *from)
Add successors to the SplitCFGBlock.
Definition SplitCFG.cpp:788
- +
std::unordered_map< const clang::CFGBlock *, SplitCFGBlock > split_blocks_
The split blocks in the CFG.
Definition SplitCFG.h:112
llvm::APInt getWaitArgument(const clang::CFGElement &element) const
Returns the argument to a wait statement. Note that the only one supported are no arguments or intege...
Definition SplitCFG.cpp:583
+
SplitCFGBlock * outter_top_
This is the pointer to the outtermost ternary operator.
Definition SplitCFG.h:147
llvm::SmallVector< SplitCFGPath > paths_
Paths of BBs generated.
Definition SplitCFG.h:115
bool isLoopWithTwoSuccessors(const SplitCFGBlock *block) const
Definition SplitCFG.cpp:445
void setDifference(const llvm::SmallPtrSetImpl< const SplitCFGBlock * > &larger, const llvm::SmallPtrSetImpl< const SplitCFGBlock * > &smaller, llvm::SmallPtrSetImpl< const SplitCFGBlock * > &to)
Compute the set difference between two SmallPtrSets.
Definition SplitCFG.cpp:408
@@ -423,8 +435,7 @@
const std::unordered_map< const SplitCFGBlock *, SplitCFGPathInfo > & getPathInfo() const
void createWaitSplitCFGBlocks(clang::CFGBlock *block, const llvm::SmallVectorImpl< std::pair< VectorCFGElementPtr, bool > > &split_elements)
Creates the SplitCFGBlocks for CFGBlock with a wait.
Definition SplitCFG.cpp:705
bool isTernaryOperator(const SplitCFGBlock *block) const
Checks if the block is contains a terminator that is a ternary operator.
Definition SplitCFG.cpp:498
-
SplitCFGBlock * outter_top
Definition SplitCFG.h:244
-
std::map< SplitCFGBlock *, SplitCFGBlock * > getConfluenceBlocks() const
Rework.
+
std::map< SplitCFGBlock *, SplitCFGBlock * > getConfluenceBlocks() const
Rework.
llvm::SmallVector< const clang::CFGElement * > VectorCFGElementPtr
Definition SplitCFG.h:102
void createUnsplitBlocks()
Creates SplitCFGBlocks for all CFGBlocks that do not have a wiat. splitBlock() creates the SplitCFGBl...
Definition SplitCFG.cpp:948
std::map< SplitCFGBlock *, SplitCFGBlock * > cop_
Definition SplitCFG.h:144
@@ -455,7 +466,8 @@ + diff --git a/SuspensionAutomata_8cpp.html b/SuspensionAutomata_8cpp.html index 32ea18a2..dbd0a006 100644 --- a/SuspensionAutomata_8cpp.html +++ b/SuspensionAutomata_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/SuspensionAutomata.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -93,19 +106,19 @@ - + - + - + - + @@ -128,7 +141,7 @@ - + @@ -142,7 +155,7 @@ - +
@@ -170,7 +183,7 @@

bool generic_isFound ( - Container< Node, Allocator > & container, + Container< Node, Allocator > & container, @@ -195,7 +208,7 @@

bool is_found ( - Node n1, + Node n1, @@ -212,7 +225,8 @@

+

diff --git a/SuspensionAutomata_8cpp__incl.map b/SuspensionAutomata_8cpp__incl.map index 4b30f82d..a7d37b2d 100644 --- a/SuspensionAutomata_8cpp__incl.map +++ b/SuspensionAutomata_8cpp__incl.map @@ -3,19 +3,19 @@ - + - + - + - + @@ -38,7 +38,7 @@ - + @@ -52,6 +52,6 @@ - +
diff --git a/SuspensionAutomata_8cpp__incl.png b/SuspensionAutomata_8cpp__incl.png index 46bf755e..d153bb6d 100644 Binary files a/SuspensionAutomata_8cpp__incl.png and b/SuspensionAutomata_8cpp__incl.png differ diff --git a/SuspensionAutomata_8cpp_source.html b/SuspensionAutomata_8cpp_source.html index ee5923d3..90432daa 100644 --- a/SuspensionAutomata_8cpp_source.html +++ b/SuspensionAutomata_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/SuspensionAutomata.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SuspensionAutomata.cpp
@@ -679,7 +687,7 @@
563 if (_stateCommonCodeBlockMap.find(initialState) ==
-
566 stateCommonCodeBlockPairType(initialState, transitionBlocks));
+
566 stateCommonCodeBlockPairType(initialState, transitionBlocks));
567 } else {
568 stateCommonCodeBlockMapType::iterator stateFound =
569 _stateCommonCodeBlockMap.find(initialState);
@@ -712,8 +720,8 @@
593
-
595 susCFGVectorType susCFGVector = _susCFGVector;
-
596 susCFGVectorType waitBlocks;
+
595 susCFGVectorType susCFGVector = _susCFGVector;
+
596 susCFGVectorType waitBlocks;
597 for (std::size_t i{0}; i < susCFGVector.size(); i++) {
598 if (susCFGVector.at(i)->isWaitBlock() || i == 0) {
599 waitBlocks.push_back(susCFGVector.at(i));
@@ -724,7 +732,7 @@
604 //<<state->returnSusCFGBlock()->getBlockID(); _os <<"\n State : "
605 //<<state->isTimed()<<" " <<state->isInitial()<<" " <<state->isDelta();
606 }
-
607 susCFGStateMap.insert(susCFGStatePairType(susCFGVector.at(i), state));
+
607 susCFGStateMap.insert(susCFGStatePairType(susCFGVector.at(i), state));
608 }
609 }
610
@@ -771,7 +779,7 @@
651 backTrackCodeBlocks.at(j + 1)) {
652 //_os <<"\n Block : " <<backBlock->getBlockID()<<" used the first
653 // successor";
-
654 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 0));
+
654 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 0));
655 //_os <<"\n Map value : " <<susCFGSuccIDMap[backBlock];
656 break;
657 }
@@ -781,7 +789,7 @@
661 //_os <<"\n Block : " <<backBlock->getBlockID()<<" used the second
662 // successor";
663 susCFGSuccIDMap.erase(backBlock);
-
664 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 1));
+
664 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 1));
665 break;
666 }
667 }
@@ -791,7 +799,7 @@
671 //_os <<"\n Block : " <<backBlock->getBlockID()<<" used the first
672 // successor";
673
-
674 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 0));
+
674 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 0));
675 break;
676 } else if (backBlock->getSuccBlocks().at(1) ==
677 backTrackCodeBlocks.at(j + 1)) {
@@ -799,7 +807,7 @@
679 // successor";
680
681 susCFGSuccIDMap.erase(backBlock);
-
682 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 1));
+
682 susCFGSuccIDMap.insert(susCFGSuccIDPairType(backBlock, 1));
683 break;
684 }
685 }
@@ -809,12 +817,12 @@
689 if (susCFGSuccIDMap.find(backTrackCodeBlocks.back()) ==
690 susCFGSuccIDMap.end()) {
691 susCFGSuccIDMap.insert(
-
692 susCFGSuccIDPairType(backTrackCodeBlocks.back(), 0));
+
692 susCFGSuccIDPairType(backTrackCodeBlocks.back(), 0));
693 } else {
694 if (susCFGSuccIDMap[backTrackCodeBlocks.back()] == 0) {
695 susCFGSuccIDMap.erase(backTrackCodeBlocks.back());
696 susCFGSuccIDMap.insert(
-
697 susCFGSuccIDPairType(backTrackCodeBlocks.back(), 1));
+
697 susCFGSuccIDPairType(backTrackCodeBlocks.back(), 1));
698 } else {
699 }
700 }
@@ -953,20 +961,20 @@
813
817
821
-
823 susCFGVectorType susCFGVector = _susCFGVector;
+
823 susCFGVectorType susCFGVector = _susCFGVector;
824
825 for (unsigned int i = 0; i < susCFGVector.size(); i++) {
826 _os << "\n Block ID : " << susCFGVector.at(i)->getBlockID();
@@ -1074,26 +1082,26 @@
SuspensionAutomata(vector< WaitContainer * >, CXXMethodDecl *, ASTContext *, llvm::raw_ostream &)
-
pair< SusCFG *, int > susCFGSuccIDPairType
- -
pair< State *, vector< SusCFG * > > stateCommonCodeBlockPairType
-
pair< SusCFG *, State * > susCFGStatePairType
stateCommonCodeBlockMapType _stateCommonCodeBlockMap
-
vector< Transition * > transitionVectorType
+
pair< SusCFG *, int > susCFGSuccIDPairType
void addRemainingBlocks(State *, vector< SusCFG * > &)
+
pair< State *, vector< SusCFG * > > stateCommonCodeBlockPairType
vector< SusCFG * > modifDFS(SusCFG *, State *)
+ +
pair< SusCFG *, State * > susCFGStatePairType
+
vector< Transition * > transitionVectorType
bool isFound(vector< SusCFG * >, SusCFG *)
@@ -1126,7 +1134,8 @@
+ diff --git a/SuspensionAutomata_8h.html b/SuspensionAutomata_8h.html index e38374ae..51cd8016 100644 --- a/SuspensionAutomata_8h.html +++ b/SuspensionAutomata_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/SuspensionAutomata.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -99,7 +112,7 @@ - + @@ -110,7 +123,7 @@ - + @@ -129,7 +142,7 @@ - + @@ -171,7 +184,7 @@ - + @@ -183,8 +196,8 @@ - - + + @@ -192,7 +205,7 @@ - + @@ -208,7 +221,7 @@ - + @@ -217,15 +230,15 @@ - + - + - + @@ -250,13 +263,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/SuspensionAutomata_8h__dep__incl.map b/SuspensionAutomata_8h__dep__incl.map index c70ce6e7..fc935e45 100644 --- a/SuspensionAutomata_8h__dep__incl.map +++ b/SuspensionAutomata_8h__dep__incl.map @@ -20,7 +20,7 @@ - + @@ -32,8 +32,8 @@ - - + + @@ -41,7 +41,7 @@ - + @@ -57,7 +57,7 @@ - + @@ -66,15 +66,15 @@ - + - + - + diff --git a/SuspensionAutomata_8h__dep__incl.png b/SuspensionAutomata_8h__dep__incl.png index 17f3301e..95a32050 100644 Binary files a/SuspensionAutomata_8h__dep__incl.png and b/SuspensionAutomata_8h__dep__incl.png differ diff --git a/SuspensionAutomata_8h__incl.map b/SuspensionAutomata_8h__incl.map index 4dd9af27..9bbb6ccf 100644 --- a/SuspensionAutomata_8h__incl.map +++ b/SuspensionAutomata_8h__incl.map @@ -2,7 +2,7 @@ - + @@ -13,7 +13,7 @@ - + @@ -32,7 +32,7 @@ - + diff --git a/SuspensionAutomata_8h_source.html b/SuspensionAutomata_8h_source.html index 82df21a3..08afa48c 100644 --- a/SuspensionAutomata_8h_source.html +++ b/SuspensionAutomata_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/SuspensionAutomata.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
SuspensionAutomata.h
@@ -209,17 +217,17 @@
121public:
-
122 typedef vector<SusCFG *> susCFGVectorType;
-
123 typedef vector<Transition *> transitionVectorType;
+
122 typedef vector<SusCFG *> susCFGVectorType;
+
123 typedef vector<Transition *> transitionVectorType;
124
-
125 typedef pair<SusCFG *, int> susCFGSuccIDPairType;
-
126 typedef map<SusCFG *, int> susCFGSuccIDMapType;
+
125 typedef pair<SusCFG *, int> susCFGSuccIDPairType;
+
126 typedef map<SusCFG *, int> susCFGSuccIDMapType;
127
-
128 typedef pair<SusCFG *, State *> susCFGStatePairType;
-
129 typedef map<SusCFG *, State *> susCFGStateMapType;
+
128 typedef pair<SusCFG *, State *> susCFGStatePairType;
+
129 typedef map<SusCFG *, State *> susCFGStateMapType;
130
-
131 typedef pair<State *, vector<SusCFG *>> stateCommonCodeBlockPairType;
-
132 typedef map<State *, vector<SusCFG *>> stateCommonCodeBlockMapType;
+
131 typedef pair<State *, vector<SusCFG *>> stateCommonCodeBlockPairType;
+
132 typedef map<State *, vector<SusCFG *>> stateCommonCodeBlockMapType;
133
134 SuspensionAutomata(vector<WaitContainer *>, CXXMethodDecl *, ASTContext *,
135 llvm::raw_ostream &);
@@ -241,8 +249,8 @@
151 string getArgumentName(Expr * arg);
152 void addEvent(string);
153 void addSimTime(float);
- - + +
156
157 void dumpSusCFG();
158 void dumpSauto();
@@ -250,14 +258,14 @@
160private:
161 CXXMethodDecl *_d;
162 vector<CallExpr *> _waitCalls;
- - + +
165 unique_ptr<CFG> _cfg;
166 ASTContext *_a;
167 raw_ostream &_os;
- - - + + +
171}; // End class SplitWaitBlocks
172} // End namespace systemc_clang
@@ -329,30 +337,30 @@
SuspensionAutomata(vector< WaitContainer * >, CXXMethodDecl *, ASTContext *, llvm::raw_ostream &)
-
pair< SusCFG *, int > susCFGSuccIDPairType
- -
pair< State *, vector< SusCFG * > > stateCommonCodeBlockPairType
+ -
pair< SusCFG *, State * > susCFGStatePairType
stateCommonCodeBlockMapType _stateCommonCodeBlockMap
-
vector< Transition * > transitionVectorType
+
pair< SusCFG *, int > susCFGSuccIDPairType
void addRemainingBlocks(State *, vector< SusCFG * > &)
+
pair< State *, vector< SusCFG * > > stateCommonCodeBlockPairType
vector< SusCFG * > modifDFS(SusCFG *, State *)
+ +
pair< SusCFG *, State * > susCFGStatePairType
- +
map< SusCFG *, State * > susCFGStateMapType
+
vector< Transition * > transitionVectorType
bool isFound(vector< SusCFG * >, SusCFG *)
-
map< State *, vector< SusCFG * > > stateCommonCodeBlockMapType
@@ -362,8 +370,8 @@ -
map< SusCFG *, State * > susCFGStateMapType
+
map< State *, vector< SusCFG * > > stateCommonCodeBlockMapType
vector< SusCFG * > _codeBlockVector
@@ -386,7 +394,8 @@
+ diff --git a/SystemCClang_8cpp.html b/SystemCClang_8cpp.html index bae61338..e4147905 100644 --- a/SystemCClang_8cpp.html +++ b/SystemCClang_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SystemCClang.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
SystemCClang.cpp File Reference
@@ -93,18 +106,18 @@
- + - + - + @@ -112,12 +125,12 @@ - + - + - + @@ -126,36 +139,36 @@ - + - + - - - - - - - + + + + + + + - + - - + + - + - + - + @@ -163,7 +176,7 @@ - + @@ -172,9 +185,9 @@ - + - + @@ -185,7 +198,7 @@ - + @@ -193,11 +206,11 @@ - + - - + + @@ -205,7 +218,7 @@ - + @@ -224,11 +237,11 @@ - + - + @@ -237,15 +250,15 @@ - + - - - - + + + + - - + + @@ -256,7 +269,7 @@ - + @@ -269,13 +282,13 @@ - - + + - + @@ -285,7 +298,7 @@ - + @@ -297,7 +310,8 @@
+
diff --git a/SystemCClang_8cpp__incl.map b/SystemCClang_8cpp__incl.map index c68fca34..06ca3dcd 100644 --- a/SystemCClang_8cpp__incl.map +++ b/SystemCClang_8cpp__incl.map @@ -1,16 +1,16 @@ - + - + - + @@ -18,12 +18,12 @@ - + - + - + @@ -32,36 +32,36 @@ - + - + - - - - - - - + + + + + + + - + - - + + - + - + - + @@ -69,7 +69,7 @@ - + @@ -78,9 +78,9 @@ - + - + @@ -91,7 +91,7 @@ - + @@ -99,11 +99,11 @@ - + - - + + @@ -111,7 +111,7 @@ - + @@ -130,11 +130,11 @@ - + - + @@ -143,15 +143,15 @@ - + - - - - + + + + - - + + @@ -162,7 +162,7 @@ - + @@ -175,13 +175,13 @@ - - + + - + @@ -191,7 +191,7 @@ - + diff --git a/SystemCClang_8cpp__incl.png b/SystemCClang_8cpp__incl.png index 318aa0e6..76813e37 100644 Binary files a/SystemCClang_8cpp__incl.png and b/SystemCClang_8cpp__incl.png differ diff --git a/SystemCClang_8cpp_source.html b/SystemCClang_8cpp_source.html index 1f8fd665..2cce06e6 100644 --- a/SystemCClang_8cpp_source.html +++ b/SystemCClang_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SystemCClang.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SystemCClang.cpp
@@ -122,7 +130,7 @@
35 auto instances{systemc_model_->getInstances()};
36 for (const auto &inst : instances) {
37 ModuleInstance *mdecl{inst};
-
38 auto ctordecl{mdecl->getConstructorDecl()};
+
38 auto ctordecl{mdecl->getConstructorDecl()};
39 if (ctordecl != nullptr) {
40 const FunctionDecl *fd{dyn_cast<FunctionDecl>(ctordecl)};
41 ctordecl->getBody(fd);
@@ -147,7 +155,7 @@
58 systemc_model_ = new Model{};
59
60 FindGlobalEvents globals{tu, os_};
- +
61 FindGlobalEvents::globalEventMapType eventMap{globals.getEventMap()};
63
64 ModuleDeclarationMatcher module_declaration_handler{};
@@ -163,7 +171,7 @@
76 FindSCMain scmain{tu, os_};
77
78 if (scmain.isSCMainFound()) {
-
79 clang::FunctionDecl *fnDecl{scmain.getSCMainFunctionDecl()};
+
79 clang::FunctionDecl *fnDecl{scmain.getSCMainFunctionDecl()};
80
81 // TODO: find any instances in sc_main.
82
@@ -337,8 +345,7 @@
void registerMatchers(MatchFinder &finder, systemc_clang::Model *model, ModuleDeclarationMatcher *module_matcher)
- -
std::map< std::string, clang::VarDecl * > globalEventMapType
+
std::map< std::string, clang::VarDecl * > globalEventMapType
clang::FunctionDecl * getSCMainFunctionDecl() const
@@ -350,7 +357,6 @@
void addInstance(ModuleInstance *)
Definition Model.cpp:76
std::vector< ModuleInstance * > & getInstances()
Definition Model.cpp:133
Forward declarations.
-
const clang::CXXConstructorDecl * getConstructorDecl() const
const std::string & getTopModule() const
clang::SourceManager & sm_
@@ -374,7 +380,8 @@
+ diff --git a/SystemCClang_8h.html b/SystemCClang_8h.html index 2b11de92..f8ed1545 100644 --- a/SystemCClang_8h.html +++ b/SystemCClang_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SystemCClang.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -98,21 +111,21 @@
- + - + - + - + - + @@ -123,7 +136,7 @@ - + @@ -140,36 +153,36 @@ - + - - - - + + + + - + - - - + + + - + - + - + - - - + + + - + @@ -213,8 +226,8 @@ - - + + @@ -222,14 +235,14 @@ - + - + - + @@ -239,7 +252,7 @@ - + @@ -248,8 +261,8 @@ - - + + @@ -257,30 +270,30 @@ - - + + - - - + + + - - - - - - + + + + + + - - - - - + + + + + @@ -304,43 +317,43 @@
- - - - + + + + - - - - - - - - - - + + + + + + + + + + - + - - - - - - - - - - + + + + + + + + + + - + - - - - - - + + + + + +
@@ -356,13 +369,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+ diff --git a/SystemCClang_8h__dep__incl.map b/SystemCClang_8h__dep__incl.map index a33252e8..94adfbf0 100644 --- a/SystemCClang_8h__dep__incl.map +++ b/SystemCClang_8h__dep__incl.map @@ -1,40 +1,40 @@ - - - - + + + + - - - - - - - - - - + + + + + + + + + + - + - - - - - - - - - - + + + + + + + + + + - + - - - - - - + + + + + + diff --git a/SystemCClang_8h__dep__incl.png b/SystemCClang_8h__dep__incl.png index a611862a..7f12c721 100644 Binary files a/SystemCClang_8h__dep__incl.png and b/SystemCClang_8h__dep__incl.png differ diff --git a/SystemCClang_8h__incl.map b/SystemCClang_8h__incl.map index f4826db9..7f490da2 100644 --- a/SystemCClang_8h__incl.map +++ b/SystemCClang_8h__incl.map @@ -1,20 +1,20 @@ - + - + - + - + - + @@ -25,7 +25,7 @@ - + @@ -42,36 +42,36 @@ - + - - - - + + + + - + - - - + + + - + - + - + - - - + + + - + @@ -115,8 +115,8 @@ - - + + @@ -124,14 +124,14 @@ - + - + - + @@ -141,7 +141,7 @@ - + @@ -150,8 +150,8 @@ - - + + @@ -159,30 +159,30 @@ - - + + - - - + + + - - - - - - + + + + + + - - - - - + + + + + diff --git a/SystemCClang_8h__incl.png b/SystemCClang_8h__incl.png index 0003b372..d2b8a0f6 100644 Binary files a/SystemCClang_8h__incl.png and b/SystemCClang_8h__incl.png differ diff --git a/SystemCClang_8h_source.html b/SystemCClang_8h_source.html index 3283bac3..6e230953 100644 --- a/SystemCClang_8h_source.html +++ b/SystemCClang_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SystemCClang.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
SystemCClang.h
@@ -205,7 +213,8 @@
+ diff --git a/TemplateParametersMatcher_8h.html b/TemplateParametersMatcher_8h.html index 26ba3324..c892c323 100644 --- a/TemplateParametersMatcher_8h.html +++ b/TemplateParametersMatcher_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/TemplateParametersMatcher.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -100,7 +113,7 @@ - + @@ -127,7 +140,7 @@ - +

Namespaces

namespace  sc_ast_matchers
namespace  sc_ast_matchers
 

@@ -163,7 +176,8 @@

+ diff --git a/TemplateParametersMatcher_8h__incl.map b/TemplateParametersMatcher_8h__incl.map index ea741455..fce84801 100644 --- a/TemplateParametersMatcher_8h__incl.map +++ b/TemplateParametersMatcher_8h__incl.map @@ -5,7 +5,7 @@ - + diff --git a/TemplateParametersMatcher_8h_source.html b/TemplateParametersMatcher_8h_source.html index 33dc6138..38fb4d5f 100644 --- a/TemplateParametersMatcher_8h_source.html +++ b/TemplateParametersMatcher_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/TemplateParametersMatcher.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
TemplateParametersMatcher.h
@@ -286,7 +294,8 @@
+ diff --git a/Testing_8h.html b/Testing_8h.html index 14f4712c..b047230f 100644 --- a/Testing_8h.html +++ b/Testing_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/Testing.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -91,10 +104,10 @@
- + - + @@ -105,7 +118,7 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 

@@ -121,7 +134,8 @@ + diff --git a/Testing_8h__incl.map b/Testing_8h__incl.map index e30680f1..63b7cdfb 100644 --- a/Testing_8h__incl.map +++ b/Testing_8h__incl.map @@ -1,8 +1,8 @@ - + - + diff --git a/Testing_8h_source.html b/Testing_8h_source.html index 7815c56e..040a4baa 100644 --- a/Testing_8h_source.html +++ b/Testing_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/Testing.h Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
Testing.h
@@ -132,7 +140,8 @@
+ diff --git a/TimeAnalysis_8h.html b/TimeAnalysis_8h.html index 7a955689..751359bb 100644 --- a/TimeAnalysis_8h.html +++ b/TimeAnalysis_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/TimeAnalysis.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,52 +103,52 @@
- - - + + + - - - + + + - - - - - - - - - + + + + + + + + + - + - + - + - + - + - + - + - + - + @@ -148,9 +161,9 @@ - + - + @@ -160,7 +173,7 @@ - + @@ -175,23 +188,23 @@ - + - - - - + + + + - + - - + + @@ -200,8 +213,8 @@ - - + + @@ -210,46 +223,46 @@ - + - + - + - + - + - + - - - + + + - + - + - - - + + + @@ -263,25 +276,25 @@ - - - - - - + + + + + + - + - + - + - + - +
@@ -300,7 +313,8 @@
+ diff --git a/TimeAnalysis_8h__incl.map b/TimeAnalysis_8h__incl.map index 5b4407ff..0e12cfd3 100644 --- a/TimeAnalysis_8h__incl.map +++ b/TimeAnalysis_8h__incl.map @@ -1,50 +1,50 @@ - - - + + + - - - + + + - - - - - - - - - + + + + + + + + + - + - + - + - + - + - + - + - + - + @@ -57,9 +57,9 @@ - + - + @@ -69,7 +69,7 @@ - + @@ -84,23 +84,23 @@ - + - - - - + + + + - + - - + + @@ -109,8 +109,8 @@ - - + + @@ -119,46 +119,46 @@ - + - + - + - + - + - + - - - + + + - + - + - - - + + + @@ -172,24 +172,24 @@ - - - - - - + + + + + + - + - + - + - + - + diff --git a/TimeAnalysis_8h__incl.png b/TimeAnalysis_8h__incl.png index 6cc76966..97527e57 100644 Binary files a/TimeAnalysis_8h__incl.png and b/TimeAnalysis_8h__incl.png differ diff --git a/TimeAnalysis_8h_source.html b/TimeAnalysis_8h_source.html index 9d1c9269..6e7455ae 100644 --- a/TimeAnalysis_8h_source.html +++ b/TimeAnalysis_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/TimeAnalysis.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
TimeAnalysis.h
@@ -151,7 +159,8 @@
+ diff --git a/Tree_8h.html b/Tree_8h.html index bb9dbee9..2154ed17 100644 --- a/Tree_8h.html +++ b/Tree_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/Tree.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -98,7 +111,7 @@ - + @@ -114,18 +127,18 @@
- + - + - + - + @@ -134,7 +147,7 @@ - + @@ -142,9 +155,9 @@ - + - + @@ -152,14 +165,14 @@ - + - + - + @@ -169,12 +182,12 @@ - + - + - + @@ -183,19 +196,19 @@ - + - + - + @@ -220,13 +233,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/Tree_8h__dep__incl.map b/Tree_8h__dep__incl.map index f0e6f7ed..c7952778 100644 --- a/Tree_8h__dep__incl.map +++ b/Tree_8h__dep__incl.map @@ -1,17 +1,17 @@ - + - + - + - + @@ -20,7 +20,7 @@ - + @@ -28,9 +28,9 @@ - + - + @@ -38,14 +38,14 @@ - + - + - + @@ -55,12 +55,12 @@ - + - + - + @@ -69,19 +69,19 @@ - + - + - + diff --git a/Tree_8h__dep__incl.png b/Tree_8h__dep__incl.png index 40fec709..b7bfef76 100644 Binary files a/Tree_8h__dep__incl.png and b/Tree_8h__dep__incl.png differ diff --git a/Tree_8h__incl.map b/Tree_8h__incl.map index 91f4ba63..ce5e339a 100644 --- a/Tree_8h__incl.map +++ b/Tree_8h__incl.map @@ -4,7 +4,7 @@ - + diff --git a/Tree_8h_source.html b/Tree_8h_source.html index b1bbb9b4..466cc25e 100644 --- a/Tree_8h_source.html +++ b/Tree_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/Tree.h Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
Tree.h
@@ -158,8 +166,8 @@
65class Tree {
66 public:
- -
68 typedef std::vector<TreeNodePtr> VectorTreePtr;
+ +
68 typedef std::vector<TreeNodePtr> VectorTreePtr;
69
70 private:
71 // Adjacency list.
@@ -240,12 +248,12 @@
137 }
138
-
139 const VectorTreePtr &getChildren(TreeNodePtr node) { return adj_list_[node]; }
+
139 const VectorTreePtr &getChildren(TreeNodePtr node) { return adj_list_[node]; }
140
142 TreeNodePtr new_node{new TreeNode<T>(data)};
-
143 VectorTreePtr empty_edges{};
+
143 VectorTreePtr empty_edges{};
144 adj_list_.insert(adj_list_.begin(), std::make_pair(new_node, empty_edges));
145 return new_node;
146 }
@@ -334,7 +342,7 @@
221
222 while (!visit.empty()) {
223 auto &node{visit.top()};
-
224 node->visit();
+
224 node->visit();
225 return_string += node->toString();
226 return_string += " ";
227
@@ -377,11 +385,11 @@
266 public:
-
267 typedef std::vector<TreeNodePtr> *TreeDFTPtr;
+
267 typedef std::vector<TreeNodePtr> *TreeDFTPtr;
268
269 private:
- +
272 std::size_t pos_;
273
274 public:
@@ -431,11 +439,11 @@
310 public:
-
311 typedef std::vector<TreeNodePtr> *TreeDFTPtr;
+
311 typedef std::vector<TreeNodePtr> *TreeDFTPtr;
312
313 private:
- +
316 std::size_t pos_;
317
318 public:
@@ -501,7 +509,7 @@
const_dft_iterator(Tree< T > *tree, std::size_t pos)
Definition Tree.h:275
const_dft_iterator & operator++()
Definition Tree.h:282
-
std::vector< TreeNodePtr > * TreeDFTPtr
Definition Tree.h:267
+
std::vector< TreeNodePtr > * TreeDFTPtr
Definition Tree.h:267
bool operator!=(const const_dft_iterator &it)
Definition Tree.h:297
@@ -513,8 +521,8 @@
dft_iterator & operator++()
Definition Tree.h:326
-
std::vector< TreeNodePtr > * TreeDFTPtr
Definition Tree.h:311
bool operator!=(const dft_iterator &it)
Definition Tree.h:341
+
std::vector< TreeNodePtr > * TreeDFTPtr
Definition Tree.h:311
dft_iterator(Tree< T > *tree, std::size_t pos)
Definition Tree.h:319
TreeNodePtr & operator*()
Definition Tree.h:324
@@ -529,6 +537,7 @@
dft_iterator end()
Definition Tree.h:346
const_dft_iterator begin() const
Definition Tree.h:300
std::vector< TreeNodePtr > nodes_bft_
Definition Tree.h:79
+
std::vector< TreeNodePtr > VectorTreePtr
Definition Tree.h:68
std::string bft(TreeNodePtr root)
Definition Tree.h:172
@@ -539,11 +548,10 @@
void resetDiscovered()
Definition Tree.h:166
Tree(const Tree &from)
Definition Tree.h:85
+
TreeNode< T > * TreeNodePtr
Definition Tree.h:67
void setRoot(const TreeNodePtr from)
Definition Tree.h:119
bool foundNode(TreeNodePtr node) const
Definition Tree.h:123
-
TreeNode< T > * TreeNodePtr
Definition Tree.h:67
-
std::vector< TreeNodePtr > VectorTreePtr
Definition Tree.h:68
std::string dft(TreeNodePtr root=nullptr)
Definition Tree.h:205
std::map< TreeNodePtr, std::vector< TreeNodePtr > > adj_list_
Definition Tree.h:74
bool hasChildren(TreeNodePtr node)
Definition Tree.h:131
@@ -551,7 +559,8 @@
+
diff --git a/Utility_8cpp.html b/Utility_8cpp.html index 8efb79e9..0c526983 100644 --- a/Utility_8cpp.html +++ b/Utility_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Utility.cpp File Reference + + @@ -32,23 +34,33 @@
- + + + +
Utility.cpp File Reference
@@ -90,7 +103,7 @@ - + @@ -105,7 +118,8 @@
+ diff --git a/Utility_8cpp__incl.map b/Utility_8cpp__incl.map index 6b2d1f47..04eabefa 100644 --- a/Utility_8cpp__incl.map +++ b/Utility_8cpp__incl.map @@ -4,7 +4,7 @@ - + diff --git a/Utility_8cpp_source.html b/Utility_8cpp_source.html index e8d5f285..44e0e642 100644 --- a/Utility_8cpp_source.html +++ b/Utility_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Utility.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
Utility.cpp
@@ -151,7 +159,8 @@
+ diff --git a/Utility_8h.html b/Utility_8h.html index 6f6b7ba2..e4f2569a 100644 --- a/Utility_8h.html +++ b/Utility_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Utility.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -98,7 +111,7 @@ - + @@ -116,23 +129,23 @@ - + - + - + - + - - + + @@ -141,71 +154,71 @@ - - + + - - - - - - - + + + + + + + - - - - - + + + + + - - - + + + - - - - - - - - - - - + + + + + + + + + + + - + - - + + - + - + - - - - - - - + + + + + + + - - + + - + - + @@ -220,7 +233,7 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 

Detailed Description

@@ -230,7 +243,8 @@
+
diff --git a/Utility_8h__dep__incl.map b/Utility_8h__dep__incl.map index 7aec5f79..bf0cce28 100644 --- a/Utility_8h__dep__incl.map +++ b/Utility_8h__dep__incl.map @@ -2,23 +2,23 @@ - + - + - + - + - - + + @@ -27,71 +27,71 @@ - - + + - - - - - - - + + + + + + + - - - - - + + + + + - - - + + + - - - - - - - - - - - + + + + + + + + + + + - + - - + + - + - + - - - - - - - + + + + + + + - - + + - + - +
diff --git a/Utility_8h__dep__incl.png b/Utility_8h__dep__incl.png index 5c710298..98f23284 100644 Binary files a/Utility_8h__dep__incl.png and b/Utility_8h__dep__incl.png differ diff --git a/Utility_8h__incl.map b/Utility_8h__incl.map index 69825617..9fcef75d 100644 --- a/Utility_8h__incl.map +++ b/Utility_8h__incl.map @@ -2,7 +2,7 @@ - + diff --git a/Utility_8h_source.html b/Utility_8h_source.html index a9a9df35..0e933541 100644 --- a/Utility_8h_source.html +++ b/Utility_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable/Utility.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
Utility.h
@@ -199,7 +207,8 @@
+ diff --git a/WaitCalls_8cpp.html b/WaitCalls_8cpp.html index 332b172c..baa95575 100644 --- a/WaitCalls_8cpp.html +++ b/WaitCalls_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitCalls.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
WaitCalls.cpp File Reference
@@ -87,19 +100,19 @@
- + - + - - + + - + @@ -116,7 +129,8 @@
+ diff --git a/WaitCalls_8cpp__incl.map b/WaitCalls_8cpp__incl.map index f5d5069d..c307803e 100644 --- a/WaitCalls_8cpp__incl.map +++ b/WaitCalls_8cpp__incl.map @@ -1,18 +1,18 @@ - + - + - - + + - + diff --git a/WaitCalls_8cpp__incl.png b/WaitCalls_8cpp__incl.png index 123d0def..4fb9df94 100644 Binary files a/WaitCalls_8cpp__incl.png and b/WaitCalls_8cpp__incl.png differ diff --git a/WaitCalls_8cpp_source.html b/WaitCalls_8cpp_source.html index 979005f7..d7094a02 100644 --- a/WaitCalls_8cpp_source.html +++ b/WaitCalls_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitCalls.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
WaitCalls.cpp
@@ -101,7 +109,7 @@
13WaitCalls::WaitCalls() : _name("NONE") {}
14
-
15WaitCalls::WaitCalls(const std::string &name, FindWait::waitListType waitList)
+
15WaitCalls::WaitCalls(const std::string &name, FindWait::waitListType waitList)
16 : _name(name), _waitList(waitList) {}
17
@@ -114,7 +122,7 @@
22
23std::string WaitCalls::getName() { return _name; }
24
- +
26
27void WaitCalls::dump(llvm::raw_ostream &os, int tabn) {
@@ -125,7 +133,7 @@
32}
Stores the data members found in a class.
-
vector< WaitContainer * > waitListType
Definition FindWait.h:20
+
vector< WaitContainer * > waitListType
Definition FindWait.h:20
@@ -138,7 +146,8 @@
+ diff --git a/WaitCalls_8h.html b/WaitCalls_8h.html index 740d689f..9d7abfbd 100644 --- a/WaitCalls_8h.html +++ b/WaitCalls_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitCalls.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -97,7 +110,7 @@ - + @@ -134,7 +147,7 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 

Detailed Description

@@ -144,7 +157,8 @@
+
diff --git a/WaitCalls_8h__incl.map b/WaitCalls_8h__incl.map index 5d38c987..29478c0d 100644 --- a/WaitCalls_8h__incl.map +++ b/WaitCalls_8h__incl.map @@ -4,7 +4,7 @@ - + diff --git a/WaitCalls_8h_source.html b/WaitCalls_8h_source.html index 8f34fb4e..78d1ddbf 100644 --- a/WaitCalls_8h_source.html +++ b/WaitCalls_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitCalls.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
WaitCalls.h
@@ -106,7 +114,7 @@
23 public:
24 // Constructors.
25 WaitCalls();
-
26 WaitCalls(const std::string &, FindWait::waitListType);
+
26 WaitCalls(const std::string &, FindWait::waitListType);
27
28 // Copy constructor.
29 WaitCalls(const WaitCalls &);
@@ -115,7 +123,7 @@
32 virtual ~WaitCalls();
33
35 std::string getName();
- +
37
38 unsigned int getTotalWaits();
39 // Print
@@ -123,13 +131,13 @@
41
42 private:
43 std::string _name;
- +
45};
46} // namespace systemc_clang
47#endif
-
vector< WaitContainer * > waitListType
Definition FindWait.h:20
+
vector< WaitContainer * > waitListType
Definition FindWait.h:20
@@ -143,7 +151,8 @@ + diff --git a/WaitContainer_8cpp.html b/WaitContainer_8cpp.html index 655929e3..9e8d1c56 100644 --- a/WaitContainer_8cpp.html +++ b/WaitContainer_8cpp.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitContainer.cpp File Reference + + @@ -32,23 +34,33 @@ - + + + +
WaitContainer.cpp File Reference
@@ -87,13 +100,13 @@
- + - + @@ -107,7 +120,8 @@
+ diff --git a/WaitContainer_8cpp__incl.map b/WaitContainer_8cpp__incl.map index c18c854a..96cdba6f 100644 --- a/WaitContainer_8cpp__incl.map +++ b/WaitContainer_8cpp__incl.map @@ -1,12 +1,12 @@ - + - + diff --git a/WaitContainer_8cpp_source.html b/WaitContainer_8cpp_source.html index 496576c6..bb179079 100644 --- a/WaitContainer_8cpp_source.html +++ b/WaitContainer_8cpp_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitContainer.cpp Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
WaitContainer.cpp
@@ -124,7 +132,7 @@
32
33CallExpr *WaitContainer::getASTNode() { return _astNode; }
34
- +
36
+ diff --git a/WaitContainer_8h.html b/WaitContainer_8h.html index 5ba5d510..f73acb4a 100644 --- a/WaitContainer_8h.html +++ b/WaitContainer_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitContainer.h File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -95,11 +108,11 @@ - + - + @@ -110,102 +123,102 @@
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - + + + - - - + + + - - - - - - - - - - - + + + + + + + + + + + - - + + - + - - - - - - + + + + + + - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + +
@@ -218,13 +231,14 @@ - +

Namespaces

namespace  systemc_clang
namespace  systemc_clang
 
+
diff --git a/WaitContainer_8h__dep__incl.map b/WaitContainer_8h__dep__incl.map index d5c46559..e29c9fe1 100644 --- a/WaitContainer_8h__dep__incl.map +++ b/WaitContainer_8h__dep__incl.map @@ -1,98 +1,98 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - + + + - - - + + + - - - - - - - - - - - + + + + + + + + + + + - - + + - + - - - - - - + + + + + + - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + diff --git a/WaitContainer_8h__dep__incl.png b/WaitContainer_8h__dep__incl.png index a1623086..de31bcc1 100644 Binary files a/WaitContainer_8h__dep__incl.png and b/WaitContainer_8h__dep__incl.png differ diff --git a/WaitContainer_8h__incl.map b/WaitContainer_8h__incl.map index ddbd912c..a16c04c0 100644 --- a/WaitContainer_8h__incl.map +++ b/WaitContainer_8h__incl.map @@ -2,11 +2,11 @@ - + - + diff --git a/WaitContainer_8h_source.html b/WaitContainer_8h_source.html index fb283f18..f59e81ed 100644 --- a/WaitContainer_8h_source.html +++ b/WaitContainer_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model/WaitContainer.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
WaitContainer.h
@@ -101,7 +109,7 @@
15public:
16 // typedefs
-
17 typedef vector<string> waitArgVectorType;
+
17 typedef vector<string> waitArgVectorType;
18
20 WaitContainer(CXXMethodDecl *, CallExpr *);
@@ -114,7 +122,7 @@
27 unsigned int getNumArgs();
28 CXXMethodDecl *getEntryMethod();
29 CallExpr *getASTNode();
- +
31
32 void dump(raw_ostream &, int tabn = 0);
33
@@ -127,7 +135,7 @@
40 CallExpr *_astNode;
41 unsigned int _numArgs;
42
- +
44};
45} // namespace systemc_clang
@@ -135,12 +143,12 @@
CXXMethodDecl * getEntryMethod()
+
vector< string > waitArgVectorType
-
vector< string > waitArgVectorType
waitArgVectorType getArgList()
@@ -152,7 +160,8 @@ + diff --git a/____init_____8py.html b/____init_____8py.html index d97db9cf..a104fa9a 100644 --- a/____init_____8py.html +++ b/____init_____8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/__init__.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
+
__init__.py File Reference

Go to the source code of this file.

+ + + + +

+Namespaces

namespace  parselib
 
+
diff --git a/____init_____8py_source.html b/____init_____8py_source.html index 14e089f4..d97b92b4 100644 --- a/____init_____8py_source.html +++ b/____init_____8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/__init__.py Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,14 +87,17 @@ + + diff --git a/alias__translation_8py.html b/alias__translation_8py.html index f115f6db..0a616947 100644 --- a/alias__translation_8py.html +++ b/alias__translation_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/alias_translation.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.alias_translation
namespace  parselib.transforms.alias_translation
 
+
diff --git a/alias__translation_8py_source.html b/alias__translation_8py_source.html index eb582a25..6a13ca15 100644 --- a/alias__translation_8py_source.html +++ b/alias__translation_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/alias_translation.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
alias_translation.py
@@ -249,7 +257,8 @@
+ diff --git a/annotated.html b/annotated.html index 58ce5ba4..58f31100 100644 --- a/annotated.html +++ b/annotated.html @@ -3,13 +3,15 @@ - + systemc-clang: Class List + + @@ -32,24 +34,35 @@ - + + +
+
+ diff --git a/classHDLType-members.html b/classHDLType-members.html index 469e4ec0..ef0b964c 100644 --- a/classHDLType-members.html +++ b/classHDLType-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + +
+
HDLType Member List
@@ -87,14 +100,15 @@ print()HDLTypeinline SCtype2hcode(string prefix, Tree< TemplateType > *template_argtp, std::vector< llvm::APInt > *arr_sizes, hNode::hdlopsEnum h_op, hNodep &h_info)HDLType tutilHDLTypeprivate - userrectype_map_t typedefHDLType + userrectype_map_t typedefHDLType usertype_infoHDLType - usertype_map_t typedefHDLType + usertype_map_t typedefHDLType ~HDLType()HDLTypeinline
+ diff --git a/classHDLType.html b/classHDLType.html index 64349a50..a88a7514 100644 --- a/classHDLType.html +++ b/classHDLType.html @@ -3,13 +3,15 @@ - + systemc-clang: HDLType Class Reference + + @@ -32,23 +34,33 @@ - + + +
+
Classes | @@ -104,10 +117,10 @@ - - - - + + + +

Public Types

typedef std::unordered_map< string, QualType > usertype_map_t
 
typedef std::unordered_map< const clang::Type *, string > userrectype_map_t
 
typedef std::unordered_map< string, QualType > usertype_map_t
 
typedef std::unordered_map< const clang::Type *, string > userrectype_map_t
 
@@ -144,14 +157,14 @@

Definition at line 16 of file HDLType.h.

Member Typedef Documentation

- -

◆ userrectype_map_t

+ +

◆ userrectype_map_t

Public Member Functions

- +
typedef std::unordered_map<const clang::Type *, string> HDLType::userrectype_map_tstd::unordered_map<const clang::Type *, string> HDLType::userrectype_map_t
@@ -160,14 +173,14 @@

-

◆ usertype_map_t

+ +

◆ usertype_map_t

- +
typedef std::unordered_map<string, QualType> HDLType::usertype_map_tstd::unordered_map<string, QualType> HDLType::usertype_map_t
@@ -189,7 +202,7 @@

HDLType::HDLType ( - ) + ) @@ -216,7 +229,7 @@

HDLType::~HDLType ( - ) + ) @@ -241,7 +254,7 @@

void HDLType::addfieldtype ( - const FieldDecl * fld, + const FieldDecl * fld, @@ -264,12 +277,12 @@

hNodep HDLType::addtype ( - string typname, + string typname, - QualType qtyp, + QualType qtyp, @@ -292,12 +305,12 @@

bool HDLType::checkusertype ( - systemc_clang::TreeNode< systemc_clang::TemplateType > *const & node, + systemc_clang::TreeNode< systemc_clang::TemplateType > *const & node, - systemc_clang::Tree< systemc_clang::TemplateType > *const & treehead, + systemc_clang::Tree< systemc_clang::TemplateType > *const & treehead, @@ -320,22 +333,22 @@

void HDLType::generatetype ( - systemc_clang::TreeNode< systemc_clang::TemplateType > *const & node, + systemc_clang::TreeNode< systemc_clang::TemplateType > *const & node, - systemc_clang::Tree< systemc_clang::TemplateType > *const & treehead, + systemc_clang::Tree< systemc_clang::TemplateType > *const & treehead, - hNodep & h_info, + hNodep & h_info, - bool generate_initial_htype = true ) + bool generate_initial_htype = true )

diff --git a/classHDLType__coll__graph.png b/classHDLType__coll__graph.png index 9b0a5756..b0da0045 100644 Binary files a/classHDLType__coll__graph.png and b/classHDLType__coll__graph.png differ diff --git a/classSAPlugin-members.html b/classSAPlugin-members.html index 65861cf1..124acde6 100644 --- a/classSAPlugin-members.html +++ b/classSAPlugin-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
SAPlugin Member List
@@ -100,7 +113,8 @@
+

diff --git a/classSAPlugin.html b/classSAPlugin.html index 04272baf..dcd8d2d6 100644 --- a/classSAPlugin.html +++ b/classSAPlugin.html @@ -3,13 +3,15 @@ - + systemc-clang: SAPlugin Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Member Functions | @@ -87,8 +100,8 @@ - - + + @@ -101,17 +114,17 @@ - + - - + + - + - +
[legend]
@@ -197,7 +210,7 @@

bool SAPlugin::postFire

- +
())
@@ -220,7 +233,8 @@

+

diff --git a/classSAPlugin__coll__graph.map b/classSAPlugin__coll__graph.map index 10cfffb3..98c78467 100644 --- a/classSAPlugin__coll__graph.map +++ b/classSAPlugin__coll__graph.map @@ -1,15 +1,15 @@ - + - - + + - + - + diff --git a/classSAPlugin__coll__graph.png b/classSAPlugin__coll__graph.png index 028105fe..973e143e 100644 Binary files a/classSAPlugin__coll__graph.png and b/classSAPlugin__coll__graph.png differ diff --git a/classSAPlugin__inherit__graph.map b/classSAPlugin__inherit__graph.map index b592b934..e90c4124 100644 --- a/classSAPlugin__inherit__graph.map +++ b/classSAPlugin__inherit__graph.map @@ -1,8 +1,8 @@ - - + + diff --git a/classTemplateParametersMatcher-members.html b/classTemplateParametersMatcher-members.html index c2dc6939..b243377a 100644 --- a/classTemplateParametersMatcher-members.html +++ b/classTemplateParametersMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
TemplateParametersMatcher Member List
@@ -89,7 +102,8 @@
+
diff --git a/classTemplateParametersMatcher.html b/classTemplateParametersMatcher.html index 0a318f4d..652e46ac 100644 --- a/classTemplateParametersMatcher.html +++ b/classTemplateParametersMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: TemplateParametersMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + +
+
diff --git a/classTimeAnalysis-members.html b/classTimeAnalysis-members.html index cdb3f6e2..f04db0ba 100644 --- a/classTimeAnalysis-members.html +++ b/classTimeAnalysis-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
TimeAnalysis Member List
@@ -102,7 +115,8 @@
+
diff --git a/classTimeAnalysis.html b/classTimeAnalysis.html index 63720ec7..4a4e4472 100644 --- a/classTimeAnalysis.html +++ b/classTimeAnalysis.html @@ -3,13 +3,15 @@ - + systemc-clang: TimeAnalysis Class Reference + + @@ -32,23 +34,33 @@ - + + +
+
Public Member Functions | @@ -88,8 +101,8 @@ - - + + @@ -207,7 +220,7 @@

GlobalSuspensionAutomata * TimeAnalysis::getGSauto ( - ) + ) @@ -234,7 +247,7 @@

bool TimeAnalysis::postFire ( - ) + ) @@ -274,7 +287,8 @@

+

diff --git a/classTimeAnalysis__coll__graph.png b/classTimeAnalysis__coll__graph.png index 9af9c7fd..86475978 100644 Binary files a/classTimeAnalysis__coll__graph.png and b/classTimeAnalysis__coll__graph.png differ diff --git a/classTimeAnalysis__inherit__graph.map b/classTimeAnalysis__inherit__graph.map index 2575f743..132f664c 100644 --- a/classTimeAnalysis__inherit__graph.map +++ b/classTimeAnalysis__inherit__graph.map @@ -1,8 +1,8 @@ - - + + diff --git a/classes.html b/classes.html index d7f6605c..35668ada 100644 --- a/classes.html +++ b/classes.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Index + + @@ -32,24 +34,35 @@
- + +
+
+
A
-
aggregate (parselib.compound)
AliasTranslation (parselib.transforms.alias_translation)
Always (parselib.transforms.node)
array (parselib.primitives)
ArrayDeref (parselib.transforms.node)
+
aggregate (parselib.compound)
AliasTranslation (parselib.transforms.alias_translation)
Always (parselib.transforms.node)
array (parselib.primitives)
ArrayDeref (parselib.transforms.node)
ArrayPortMovement (parselib.transforms.node_movement)
B
BreakMatcher (systemc_clang)
C
-
CallerCalleeMatcher (sc_ast_matchers)
CommaTransformation (parselib.transforms.comma_transformation)
Tree::const_dft_iterator (systemc_clang)
cppbool (parselib.primitives)
cppchar (parselib.primitives)
cppint (parselib.primitives)
cpplonglong (parselib.primitives)
cppshort (parselib.primitives)
cppsignedchar (parselib.primitives)
cppuint (parselib.primitives)
cppulonglong (parselib.primitives)
cppunsignedchar (parselib.primitives)
cppushort (parselib.primitives)
+
CallerCalleeMatcher (sc_ast_matchers)
CommaTransformation (parselib.transforms.comma_transformation)
Tree::const_dft_iterator (systemc_clang)
ContextManager (parselib.utils)
cppbool (parselib.primitives)
cppchar (parselib.primitives)
cppint (parselib.primitives)
cpplonglong (parselib.primitives)
cppshort (parselib.primitives)
cppsignedchar (parselib.primitives)
cppuint (parselib.primitives)
cppulonglong (parselib.primitives)
cppunsignedchar (parselib.primitives)
cppushort (parselib.primitives)
D
Tree::dft_iterator (systemc_clang)
double (parselib.primitives)
@@ -103,10 +116,10 @@
HDLAXN (systemc_hdl)
HDLBody (systemc_hdl)
HDLConstructorHcode (systemc_hdl)
HDLFrontendActionFactory (systemc_hdl)
HDLMain (systemc_hdl)
HDLPluginAction (systemc_hdl)
HDLThread (systemc_hdl)
HDLType
hfunc_name_map_t (hnode)
hNode (hnode)
I
-
InstanceArgumentMatcher (sc_ast_matchers)
InstanceMatcher (sc_ast_matchers)
InterfaceDecl (systemc_clang)
InvalidCommandlineFormat (systemc-clang)
+
InstanceArgumentMatcher (sc_ast_matchers)
InstanceMatcher (sc_ast_matchers)
Interface (parselib.transforms.interface_generation)
InterfaceDecl (systemc_clang)
InterfaceGeneration (parselib.transforms.interface_generation)
InterfaceReplacement (parselib.transforms.interface_generation)
InvalidCommandlineFormat (systemc-clang)
L
-
LiteralExpansion (parselib.transforms.literal_expansion)
long (parselib.primitives)
+
LiteralExpansion (parselib.transforms.literal_expansion)
LiteralExpansion2 (parselib.transforms.literal_expansion)
long (parselib.primitives)
LowerComplexPort (parselib.transforms.portbinding_recollect)
M
MissingSystemCClang (systemc-clang)
Model (systemc_clang)
ModuleDeclarationMatcher (sc_ast_matchers)
ModuleInstance (systemc_clang)
ModuleInstanceType (sc_ast_matchers)
@@ -115,13 +128,13 @@
name_serve (hnode)
names_t (hnode)
NamespaceMatcher (sc_ast_matchers::utils)
NetlistMatcher (sc_ast_matchers)
newname_map_t (hnode)
Node (parselib.transforms.node)
Node (systemc_clang)
NodeMergePass (parselib.transforms.node_merge)
NodeMovement (parselib.transforms.node_movement)
NotifyCalls (systemc_clang)
NotifyContainer (systemc_clang)
P
-
PluginAction (systemc_clang)
PortBinding (systemc_clang)
PortDecl (systemc_clang)
PortExpansion (parselib.transforms.port_expansion)
PortMatcher (sc_ast_matchers)
Primitive (parselib.primitives)
ProcessDecl (systemc_clang)
ProcessVarNameStub (parselib.transforms.name_stub)
+
PluginAction (systemc_clang)
PortBinding (systemc_clang)
PortbindingPrecheck (parselib.transforms.portbinding_recollect)
PortbindingRecollect (parselib.transforms.portbinding_recollect)
PortDecl (parselib.transforms.interface_generation)
PortDecl (systemc_clang)
PortDirectionCollector (parselib.transforms.portbinding_recollect)
PortExpansion (parselib.transforms.port_expansion)
PortMatcher (sc_ast_matchers)
PrettyPrintModule (parselib.transforms.passes)
Primitive (parselib.primitives)
ProcessDecl (systemc_clang)
ProcessVarNameStub (parselib.transforms.name_stub)
R
ReorderModInitBlock (parselib.transforms.reorder_mod_init_block)
ResetMatcher (sc_ast_matchers)
S
-
SAPlugin
sc_bigint (parselib.primitives)
sc_biguint (parselib.primitives)
sc_bv (parselib.primitives)
sc_clock (parselib.primitives)
sc_in (parselib.primitives)
sc_int (parselib.primitives)
sc_out (parselib.primitives)
sc_rvd_in (parselib.primitives)
sc_rvd_out (parselib.primitives)
sc_signal (parselib.primitives)
sc_uint (parselib.primitives)
SensitiveOperatorCallMatcher (sc_ast_matchers)
SensitivityMatcher (sc_ast_matchers)
SignalDecl (systemc_clang)
SliceMerge (parselib.transforms.slice_merge)
SortVarDecl (parselib.transforms.sort_var_decl)
SplitCFG (systemc_clang)
SplitCFGBlock (systemc_clang)
SplitCFGPathInfo (systemc_clang)
State (systemc_clang)
Statement (parselib.transforms.node)
StmtVisitor (systemc_hdl)
StructureCollector (parselib.transforms.structure_collector)
SplitCFGBlock::SuccessorIterator (systemc_clang)
SupplementaryInfo (systemc_clang)
SusCFG (systemc_clang)
SuspensionAutomata (systemc_clang)
SystemCClang (systemc-clang)
SystemCClang (systemc_clang)
SystemCClangAXN (systemc_clang)
SystemCClangFatalError (systemc-clang)
SystemCConsumer (systemc_clang)
+
SAPlugin
sc_bigint (parselib.primitives)
sc_biguint (parselib.primitives)
sc_bv (parselib.primitives)
sc_clock (parselib.primitives)
sc_in (parselib.primitives)
sc_int (parselib.primitives)
sc_out (parselib.primitives)
sc_rvd_in (parselib.primitives)
sc_rvd_out (parselib.primitives)
sc_signal (parselib.primitives)
sc_uint (parselib.primitives)
SensevarMovement (parselib.transforms.sensevar_movement)
SensitiveOperatorCallMatcher (sc_ast_matchers)
SensitivityMatcher (sc_ast_matchers)
SignalDecl (systemc_clang)
SliceMerge (parselib.transforms.slice_merge)
SortVarDecl (parselib.transforms.sort_var_decl)
SplitCFG (systemc_clang)
SplitCFGBlock (systemc_clang)
SplitCFGPathInfo (systemc_clang)
State (systemc_clang)
Statement (parselib.transforms.node)
StmtVisitor (systemc_hdl)
StructureCollector (parselib.transforms.structure_collector)
SplitCFGBlock::SuccessorIterator (systemc_clang)
SupplementaryInfo (systemc_clang)
SusCFG (systemc_clang)
SuspensionAutomata (systemc_clang)
SystemCClang (systemc-clang)
SystemCClang (systemc_clang)
SystemCClangAXN (systemc_clang)
SystemCClangFatalError (systemc-clang)
SystemCConsumer (systemc_clang)
T
TemplateParametersMatcher
TemplateType (systemc_clang)
TimeAnalysis
TopDown (parselib.transforms.top_down)
Transition (systemc_clang)
Tree (systemc_clang)
TreeNode (systemc_clang)
TypeCollector (parselib.transforms.type_collector)
TypeContext (parselib.primitives)
TypeDefCleanup (parselib.transforms.typedef_filter)
TypedefExpansion (parselib.transforms.typedef_expansion)
TypeDefFilter (parselib.transforms.typedef_filter)
TypeDefType (parselib.transforms.node)
TypeNode (parselib.transforms.type_node)
@@ -141,7 +154,8 @@
+
diff --git a/classhnode_1_1hNode-members.html b/classhnode_1_1hNode-members.html index fe9f162b..8c053e4f 100644 --- a/classhnode_1_1hNode-members.html +++ b/classhnode_1_1hNode-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
hnode::hNode Member List
@@ -167,7 +180,8 @@
+ diff --git a/classhnode_1_1hNode.html b/classhnode_1_1hNode.html index 61c2dc4a..3171127b 100644 --- a/classhnode_1_1hNode.html +++ b/classhnode_1_1hNode.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode::hNode Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Types | @@ -174,11 +187,11 @@ - + - + - + @@ -186,32 +199,32 @@ - + - + - + - + - + - +

Public Member Functions

 hNode (bool lf)
 hNode (bool lf)
 
 hNode (hdlopsEnum h)
 hNode (hdlopsEnum h)
 
 hNode (string s, hdlopsEnum h)
 hNode (string s, hdlopsEnum h)
 
 ~hNode ()
 
 
void set (string s="")
 
void append (hNodep hnew)
void append (hNodep hnew)
 
int size ()
int size ()
 
string printopc (hdlopsEnum opc)
string printopc (hdlopsEnum opc)
 
string getname ()
string getname ()
 
hdlopsEnum getopc ()
 
hdlopsEnum str2hdlopenum (string st)
hdlopsEnum str2hdlopenum (string st)
 
void print (llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)
void print (llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)
 
void dumphcode ()
 
- + - +

Public Attributes

string h_name
string h_name
 
hdlopsEnum h_op
 
std::vector< hNodepchild_list
 
const string hdlop_pn [hLast+1] = { "hNoop", "hModule", "hModinitblock", "hPortbindings", "hPortbinding", "hProcesses", "hProcess", "hMethod", "hThread", "hCStmt", "hPortsigvarlist", "hPortin", "hPortout", "hPortio", "hSenslist", "hSensvar", "hSensedge", "hTypeinfo", "hType", "hTypeField", "hTypedef", "hTypeTemplateParam", "hInt", "hSigdecl", "hVardecl", "hVardeclrn", "hModdecl", "hVarref", "hField", "hFieldaccess", "hVarInit", "hVarInitList", "hSigAssignL", "hSigAssignR", "hVarAssign", "hBinop", "hUnop", "hPostfix", "hPrefix", "hCondop", "hMethodCall", "hIfStmt", "hForStmt", "hSwitchStmt", "hSwitchCase", "hSwitchDefault", "hBreak", "hContinue", "hWhileStmt", "hDoStmt", "hReturnStmt", "hLiteral", "hFunction", "hThreadFunction", "hBuiltinFunction", "hFunctionRetType", "hFunctionParams", "hFunctionParamI", "hFunctionParamIO", "hFunctionParamRef", "hWait", "hUnimpl", "hLast" }
const string hdlop_pn [hLast+1] = { "hNoop" , "hModule" , "hModinitblock" , "hPortbindings" , "hPortbinding" , "hProcesses" , "hProcess" , "hMethod" , "hThread" , "hCStmt" , "hPortsigvarlist" , "hPortin" , "hPortout" , "hPortio" , "hSenslist" , "hSensvar" , "hSensedge" , "hTypeinfo" , "hType" , "hTypeField" , "hTypedef" , "hTypeTemplateParam" , "hInt" , "hSigdecl" , "hVardecl" , "hVardeclrn" , "hModdecl" , "hVarref" , "hField" , "hFieldaccess" , "hVarInit" , "hVarInitList" , "hSigAssignL" , "hSigAssignR" , "hVarAssign" , "hBinop" , "hUnop" , "hPostfix" , "hPrefix" , "hCondop" , "hMethodCall" , "hIfStmt" , "hForStmt" , "hSwitchStmt" , "hSwitchCase" , "hSwitchDefault" , "hBreak" , "hContinue" , "hWhileStmt" , "hDoStmt" , "hReturnStmt" , "hLiteral" , "hFunction" , "hThreadFunction" , "hBuiltinFunction" , "hFunctionRetType" , "hFunctionParams" , "hFunctionParamI" , "hFunctionParamIO" , "hFunctionParamRef" , "hWait" , "hUnimpl" , "hLast" }
 

Detailed Description

@@ -225,7 +238,7 @@

- +
enum hnode::hNode::hdlopsEnumenum hnode::hNode::hdlopsEnum

@@ -747,7 +760,7 @@

- +
const string hnode::hNode::hdlop_pn[hLast+1] = { "hNoop", "hModule", "hModinitblock", "hPortbindings", "hPortbinding", "hProcesses", "hProcess", "hMethod", "hThread", "hCStmt", "hPortsigvarlist", "hPortin", "hPortout", "hPortio", "hSenslist", "hSensvar", "hSensedge", "hTypeinfo", "hType", "hTypeField", "hTypedef", "hTypeTemplateParam", "hInt", "hSigdecl", "hVardecl", "hVardeclrn", "hModdecl", "hVarref", "hField", "hFieldaccess", "hVarInit", "hVarInitList", "hSigAssignL", "hSigAssignR", "hVarAssign", "hBinop", "hUnop", "hPostfix", "hPrefix", "hCondop", "hMethodCall", "hIfStmt", "hForStmt", "hSwitchStmt", "hSwitchCase", "hSwitchDefault", "hBreak", "hContinue", "hWhileStmt", "hDoStmt", "hReturnStmt", "hLiteral", "hFunction", "hThreadFunction", "hBuiltinFunction", "hFunctionRetType", "hFunctionParams", "hFunctionParamI", "hFunctionParamIO", "hFunctionParamRef", "hWait", "hUnimpl", "hLast" }const string hnode::hNode::hdlop_pn[hLast+1] = { "hNoop" , "hModule" , "hModinitblock" , "hPortbindings" , "hPortbinding" , "hProcesses" , "hProcess" , "hMethod" , "hThread" , "hCStmt" , "hPortsigvarlist" , "hPortin" , "hPortout" , "hPortio" , "hSenslist" , "hSensvar" , "hSensedge" , "hTypeinfo" , "hType" , "hTypeField" , "hTypedef" , "hTypeTemplateParam" , "hInt" , "hSigdecl" , "hVardecl" , "hVardeclrn" , "hModdecl" , "hVarref" , "hField" , "hFieldaccess" , "hVarInit" , "hVarInitList" , "hSigAssignL" , "hSigAssignR" , "hVarAssign" , "hBinop" , "hUnop" , "hPostfix" , "hPrefix" , "hCondop" , "hMethodCall" , "hIfStmt" , "hForStmt" , "hSwitchStmt" , "hSwitchCase" , "hSwitchDefault" , "hBreak" , "hContinue" , "hWhileStmt" , "hDoStmt" , "hReturnStmt" , "hLiteral" , "hFunction" , "hThreadFunction" , "hBuiltinFunction" , "hFunctionRetType" , "hFunctionParams" , "hFunctionParamI" , "hFunctionParamIO" , "hFunctionParamRef" , "hWait" , "hUnimpl" , "hLast" }

@@ -762,7 +775,8 @@

+

diff --git a/classhnode_1_1hfunc__name__map__t-members.html b/classhnode_1_1hfunc__name__map__t-members.html index 5215e99f..5eefe1d3 100644 --- a/classhnode_1_1hfunc__name__map__t-members.html +++ b/classhnode_1_1hfunc__name__map__t-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
hnode::hfunc_name_map_t Member List
@@ -103,7 +116,8 @@
+ diff --git a/classhnode_1_1hfunc__name__map__t.html b/classhnode_1_1hfunc__name__map__t.html index ea381260..1319c5a1 100644 --- a/classhnode_1_1hfunc__name__map__t.html +++ b/classhnode_1_1hfunc__name__map__t.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode::hfunc_name_map_t Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classhnode_1_1name__serve-members.html b/classhnode_1_1name__serve-members.html index d153e37a..7674a97d 100644 --- a/classhnode_1_1name__serve-members.html +++ b/classhnode_1_1name__serve-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
hnode::name_serve Member List
@@ -91,7 +104,8 @@
+ diff --git a/classhnode_1_1name__serve.html b/classhnode_1_1name__serve.html index ab9aa9dc..3801f251 100644 --- a/classhnode_1_1name__serve.html +++ b/classhnode_1_1name__serve.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode::name_serve Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classhnode_1_1newname__map__t-members.html b/classhnode_1_1newname__map__t-members.html index d72a04a4..40be66f9 100644 --- a/classhnode_1_1newname__map__t-members.html +++ b/classhnode_1_1newname__map__t-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
hnode::newname_map_t< T > Member List
@@ -101,7 +114,8 @@
+ diff --git a/classhnode_1_1newname__map__t.html b/classhnode_1_1newname__map__t.html index 0f787818..17dd90bb 100644 --- a/classhnode_1_1newname__map__t.html +++ b/classhnode_1_1newname__map__t.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode::newname_map_t< T > Class Template Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -165,7 +178,7 @@

hnode::newname_map_t< T >::newname_map_t ( - string prefix = lvar_prefix) + string prefix = lvar_prefix) @@ -195,12 +208,12 @@

void hnode::newname_map_t< T >::add_entry ( - T declp, + T declp, - string old_name, + string old_name, @@ -233,7 +246,7 @@

std::map< T, names_t >::iterator hnode::newname_map_t< T >::begin ( - ) + ) @@ -262,7 +275,7 @@

void hnode::newname_map_t< T >::clear ( - ) + ) @@ -291,7 +304,7 @@

bool hnode::newname_map_t< T >::empty ( - ) + ) @@ -320,7 +333,7 @@

std::map< T, names_t >::iterator hnode::newname_map_t< T >::end ( - ) + ) @@ -349,12 +362,12 @@

string hnode::newname_map_t< T >::find_entry_newn ( - T declp, + T declp, - bool set_ref = false ) + bool set_ref = false ) @@ -382,7 +395,7 @@

string hnode::newname_map_t< T >::get_prefix ( - ) + ) @@ -469,12 +482,12 @@

void hnode::newname_map_t< T >::print ( - llvm::raw_ostream & modelout = llvm::outs(), + llvm::raw_ostream & modelout = llvm::outs(), - unsigned int indnt = 2 ) + unsigned int indnt = 2 ) @@ -502,7 +515,7 @@

void hnode::newname_map_t< T >::reset_referenced ( - ) + ) @@ -560,7 +573,7 @@

size_t hnode::newname_map_t< T >::size ( - ) + ) @@ -634,7 +647,8 @@

+

diff --git a/classhnode_1_1util-members.html b/classhnode_1_1util-members.html index 803a86f7..b6de1fe0 100644 --- a/classhnode_1_1util-members.html +++ b/classhnode_1_1util-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
hnode::util Member List
@@ -100,7 +113,8 @@
+ diff --git a/classhnode_1_1util.html b/classhnode_1_1util.html index c0b32327..3fc5efd1 100644 --- a/classhnode_1_1util.html +++ b/classhnode_1_1util.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode::util Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1compound_1_1aggregate-members.html b/classparselib_1_1compound_1_1aggregate-members.html index 40377a07..9656881d 100644 --- a/classparselib_1_1compound_1_1aggregate-members.html +++ b/classparselib_1_1compound_1_1aggregate-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/classparselib_1_1compound_1_1aggregate.html b/classparselib_1_1compound_1_1aggregate.html index d3200b10..0180f318 100644 --- a/classparselib_1_1compound_1_1aggregate.html +++ b/classparselib_1_1compound_1_1aggregate.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.compound.aggregate Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -113,10 +126,12 @@ - + - + + +

Public Attributes

 fields
 fields = fields
 
 params
 params = params
 
str fields = var_name + '_' + x
 

Detailed Description

@@ -181,19 +196,35 @@

Member Data Documentation

-

◆ fields

+

◆ fields [1/2]

- +
parselib.compound.aggregate.fieldsparselib.compound.aggregate.fields = fields

Definition at line 8 of file compound.py.

+
+
+ +

◆ fields [2/2]

+ +
+
+ + + + +
str parselib.compound.aggregate.fields = var_name + '_' + x
+
+ +

Definition at line 15 of file compound.py.

+
@@ -203,7 +234,7 @@

- +
parselib.compound.aggregate.paramsparselib.compound.aggregate.params = params

@@ -218,7 +249,8 @@

+

diff --git a/classparselib_1_1grammar_1_1UnexpectedHCodeStructureError.html b/classparselib_1_1grammar_1_1UnexpectedHCodeStructureError.html index 22926b42..6959d070 100644 --- a/classparselib_1_1grammar_1_1UnexpectedHCodeStructureError.html +++ b/classparselib_1_1grammar_1_1UnexpectedHCodeStructureError.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.grammar.UnexpectedHCodeStructureError Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
parselib.grammar.UnexpectedHCodeStructureError Class Reference
@@ -101,14 +114,15 @@
[legend]

Detailed Description

raised when a hcode node is not as expected
-

Definition at line 328 of file grammar.py.

+

Definition at line 332 of file grammar.py.


The documentation for this class was generated from the following file:
+ diff --git a/classparselib_1_1primitives_1_1Primitive-members.html b/classparselib_1_1primitives_1_1Primitive-members.html index 8dbc2b10..6a6e902f 100644 --- a/classparselib_1_1primitives_1_1Primitive-members.html +++ b/classparselib_1_1primitives_1_1Primitive-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.Primitive Member List
@@ -91,7 +104,8 @@
+ diff --git a/classparselib_1_1primitives_1_1Primitive.html b/classparselib_1_1primitives_1_1Primitive.html index 69348838..fea8a0ad 100644 --- a/classparselib_1_1primitives_1_1Primitive.html +++ b/classparselib_1_1primitives_1_1Primitive.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.Primitive Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -96,25 +109,25 @@ - + - + - + - + @@ -132,7 +145,7 @@ - + @@ -144,7 +157,7 @@ - + @@ -187,7 +200,7 @@

parselib.primitives.Primitive.__init_subclass__ ( - cls, + cls, @@ -240,7 +253,7 @@

parselib.primitives.Primitive.get_primitive_name_dict ( - ) + ) @@ -339,7 +352,8 @@

+

diff --git a/classparselib_1_1primitives_1_1Primitive__inherit__graph.map b/classparselib_1_1primitives_1_1Primitive__inherit__graph.map index 8e56e2ac..74598ca4 100644 --- a/classparselib_1_1primitives_1_1Primitive__inherit__graph.map +++ b/classparselib_1_1primitives_1_1Primitive__inherit__graph.map @@ -6,25 +6,25 @@ - + - + - + - + @@ -42,7 +42,7 @@ - + @@ -54,7 +54,7 @@ - + diff --git a/classparselib_1_1primitives_1_1TypeContext-members.html b/classparselib_1_1primitives_1_1TypeContext-members.html index b3b94691..d2560654 100644 --- a/classparselib_1_1primitives_1_1TypeContext-members.html +++ b/classparselib_1_1primitives_1_1TypeContext-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.TypeContext Member List
@@ -91,7 +104,8 @@
+ diff --git a/classparselib_1_1primitives_1_1TypeContext.html b/classparselib_1_1primitives_1_1TypeContext.html index 862982cc..fd98103b 100644 --- a/classparselib_1_1primitives_1_1TypeContext.html +++ b/classparselib_1_1primitives_1_1TypeContext.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.TypeContext Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -119,11 +132,11 @@ - + - + - +

Public Attributes

 prefix
 prefix = prefix
 
 suffix
 suffix = suffix
 
 sep
 sep = sep
 

Detailed Description

@@ -140,22 +153,22 @@

parselib.primitives.TypeContext.__init__ ( - self, + self, - prefix = None, + prefix = None, - suffix = None, + suffix = None, - sep = None ) + sep = None )

@@ -274,7 +287,8 @@

+

diff --git a/classparselib_1_1primitives_1_1____func__inout-members.html b/classparselib_1_1primitives_1_1____func__inout-members.html index 4e56a5d9..b4481c95 100644 --- a/classparselib_1_1primitives_1_1____func__inout-members.html +++ b/classparselib_1_1primitives_1_1____func__inout-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.__func_inout Member List
@@ -89,7 +102,8 @@
+ diff --git a/classparselib_1_1primitives_1_1____func__inout.html b/classparselib_1_1primitives_1_1____func__inout.html index ed37e8fd..dd7ca857 100644 --- a/classparselib_1_1primitives_1_1____func__inout.html +++ b/classparselib_1_1primitives_1_1____func__inout.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.__func_inout Class Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -246,7 +259,8 @@

+

diff --git a/classparselib_1_1primitives_1_1____int128-members.html b/classparselib_1_1primitives_1_1____int128-members.html index 70573fc5..c3d84e9f 100644 --- a/classparselib_1_1primitives_1_1____int128-members.html +++ b/classparselib_1_1primitives_1_1____int128-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.__int128 Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1____int128.html b/classparselib_1_1primitives_1_1____int128.html index 4a4e57de..a0983550 100644 --- a/classparselib_1_1primitives_1_1____int128.html +++ b/classparselib_1_1primitives_1_1____int128.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.__int128 Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 209 of file primitives.py.

+

Definition at line 213 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 210 of file primitives.py.

+

Definition at line 214 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1array-members.html b/classparselib_1_1primitives_1_1array-members.html index e6907834..175a3d1c 100644 --- a/classparselib_1_1primitives_1_1array-members.html +++ b/classparselib_1_1primitives_1_1array-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.array Member List
@@ -90,7 +103,8 @@
+ diff --git a/classparselib_1_1primitives_1_1array.html b/classparselib_1_1primitives_1_1array.html index 729454f7..f49c8b52 100644 --- a/classparselib_1_1primitives_1_1array.html +++ b/classparselib_1_1primitives_1_1array.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.array Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -118,9 +131,9 @@ - + - +

Public Attributes

 T
 T = T
 
 sz
 sz = size
 

@@ -140,7 +153,7 @@

Detailed Description

-

Definition at line 239 of file primitives.py.

+

Definition at line 243 of file primitives.py.

Constructor & Destructor Documentation

◆ __init__()

@@ -151,12 +164,12 @@

parselib.primitives.array.__init__ ( - self, + self, - T, + T, @@ -166,7 +179,7 @@

-

Definition at line 240 of file primitives.py.

+

Definition at line 244 of file primitives.py.

@@ -186,7 +199,7 @@

-

Definition at line 244 of file primitives.py.

+

Definition at line 248 of file primitives.py.

@@ -199,22 +212,22 @@

parselib.primitives.array.to_str ( - self, + self, - var_name, + var_name, - context = None ) + context = None )
-

Definition at line 250 of file primitives.py.

+

Definition at line 254 of file primitives.py.

@@ -226,12 +239,12 @@

- +
parselib.primitives.array.szparselib.primitives.array.sz = size
-

Definition at line 242 of file primitives.py.

+

Definition at line 246 of file primitives.py.

@@ -242,12 +255,12 @@

- +
parselib.primitives.array.Tparselib.primitives.array.T = T
-

Definition at line 241 of file primitives.py.

+

Definition at line 245 of file primitives.py.

@@ -257,7 +270,8 @@

+ diff --git a/classparselib_1_1primitives_1_1cppbool-members.html b/classparselib_1_1primitives_1_1cppbool-members.html index ea1752e8..cc2e3e3c 100644 --- a/classparselib_1_1primitives_1_1cppbool-members.html +++ b/classparselib_1_1primitives_1_1cppbool-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppbool Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppbool.html b/classparselib_1_1primitives_1_1cppbool.html index f4798557..3eacd746 100644 --- a/classparselib_1_1primitives_1_1cppbool.html +++ b/classparselib_1_1primitives_1_1cppbool.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppbool Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 254 of file primitives.py.

+

Definition at line 258 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 255 of file primitives.py.

+

Definition at line 259 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppchar-members.html b/classparselib_1_1primitives_1_1cppchar-members.html index ab5e25c3..819e7ace 100644 --- a/classparselib_1_1primitives_1_1cppchar-members.html +++ b/classparselib_1_1primitives_1_1cppchar-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppchar Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppchar.html b/classparselib_1_1primitives_1_1cppchar.html index d9c015e9..929fb3da 100644 --- a/classparselib_1_1primitives_1_1cppchar.html +++ b/classparselib_1_1primitives_1_1cppchar.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppchar Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 263 of file primitives.py.

+

Definition at line 267 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 264 of file primitives.py.

+

Definition at line 268 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppint-members.html b/classparselib_1_1primitives_1_1cppint-members.html index c42b3940..8dcb929b 100644 --- a/classparselib_1_1primitives_1_1cppint-members.html +++ b/classparselib_1_1primitives_1_1cppint-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppint Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppint.html b/classparselib_1_1primitives_1_1cppint.html index b2db9093..4af7b959 100644 --- a/classparselib_1_1primitives_1_1cppint.html +++ b/classparselib_1_1primitives_1_1cppint.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppint Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 259 of file primitives.py.

+

Definition at line 263 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 260 of file primitives.py.

+

Definition at line 264 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cpplonglong-members.html b/classparselib_1_1primitives_1_1cpplonglong-members.html index 443eec65..7bb03d8a 100644 --- a/classparselib_1_1primitives_1_1cpplonglong-members.html +++ b/classparselib_1_1primitives_1_1cpplonglong-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cpplonglong Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cpplonglong.html b/classparselib_1_1primitives_1_1cpplonglong.html index 5539f389..d75977e1 100644 --- a/classparselib_1_1primitives_1_1cpplonglong.html +++ b/classparselib_1_1primitives_1_1cpplonglong.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cpplonglong Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 287 of file primitives.py.

+

Definition at line 291 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 288 of file primitives.py.

+

Definition at line 292 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppshort-members.html b/classparselib_1_1primitives_1_1cppshort-members.html index 20d03835..76b63a5b 100644 --- a/classparselib_1_1primitives_1_1cppshort-members.html +++ b/classparselib_1_1primitives_1_1cppshort-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppshort Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppshort.html b/classparselib_1_1primitives_1_1cppshort.html index 7eb85e9a..5ea87ad0 100644 --- a/classparselib_1_1primitives_1_1cppshort.html +++ b/classparselib_1_1primitives_1_1cppshort.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppshort Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 279 of file primitives.py.

+

Definition at line 283 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 280 of file primitives.py.

+

Definition at line 284 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppsignedchar-members.html b/classparselib_1_1primitives_1_1cppsignedchar-members.html index f582e851..ed445a8a 100644 --- a/classparselib_1_1primitives_1_1cppsignedchar-members.html +++ b/classparselib_1_1primitives_1_1cppsignedchar-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppsignedchar Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppsignedchar.html b/classparselib_1_1primitives_1_1cppsignedchar.html index a5dd5011..f03bc818 100644 --- a/classparselib_1_1primitives_1_1cppsignedchar.html +++ b/classparselib_1_1primitives_1_1cppsignedchar.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppsignedchar Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 267 of file primitives.py.

+

Definition at line 271 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 268 of file primitives.py.

+

Definition at line 272 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppuint-members.html b/classparselib_1_1primitives_1_1cppuint-members.html index ea3af50d..30925e15 100644 --- a/classparselib_1_1primitives_1_1cppuint-members.html +++ b/classparselib_1_1primitives_1_1cppuint-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppuint Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppuint.html b/classparselib_1_1primitives_1_1cppuint.html index 5eb03eec..0f7ad164 100644 --- a/classparselib_1_1primitives_1_1cppuint.html +++ b/classparselib_1_1primitives_1_1cppuint.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppuint Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 275 of file primitives.py.

+

Definition at line 279 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 276 of file primitives.py.

+

Definition at line 280 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppulonglong-members.html b/classparselib_1_1primitives_1_1cppulonglong-members.html index e650c426..6e04e5c0 100644 --- a/classparselib_1_1primitives_1_1cppulonglong-members.html +++ b/classparselib_1_1primitives_1_1cppulonglong-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppulonglong Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppulonglong.html b/classparselib_1_1primitives_1_1cppulonglong.html index 48a3454d..0c5b26d7 100644 --- a/classparselib_1_1primitives_1_1cppulonglong.html +++ b/classparselib_1_1primitives_1_1cppulonglong.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppulonglong Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 291 of file primitives.py.

+

Definition at line 295 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 292 of file primitives.py.

+

Definition at line 296 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppunsignedchar-members.html b/classparselib_1_1primitives_1_1cppunsignedchar-members.html index 489c7e4a..b085b92f 100644 --- a/classparselib_1_1primitives_1_1cppunsignedchar-members.html +++ b/classparselib_1_1primitives_1_1cppunsignedchar-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppunsignedchar Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppunsignedchar.html b/classparselib_1_1primitives_1_1cppunsignedchar.html index 7ce626bc..244a2dca 100644 --- a/classparselib_1_1primitives_1_1cppunsignedchar.html +++ b/classparselib_1_1primitives_1_1cppunsignedchar.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppunsignedchar Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 271 of file primitives.py.

+

Definition at line 275 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 272 of file primitives.py.

+

Definition at line 276 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1cppushort-members.html b/classparselib_1_1primitives_1_1cppushort-members.html index 7681fae0..13797a4c 100644 --- a/classparselib_1_1primitives_1_1cppushort-members.html +++ b/classparselib_1_1primitives_1_1cppushort-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.cppushort Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1cppushort.html b/classparselib_1_1primitives_1_1cppushort.html index 69c24e98..d8da1c0b 100644 --- a/classparselib_1_1primitives_1_1cppushort.html +++ b/classparselib_1_1primitives_1_1cppushort.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.cppushort Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 283 of file primitives.py.

+

Definition at line 287 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 284 of file primitives.py.

+

Definition at line 288 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1double-members.html b/classparselib_1_1primitives_1_1double-members.html index 7ae09dab..d3c4645f 100644 --- a/classparselib_1_1primitives_1_1double-members.html +++ b/classparselib_1_1primitives_1_1double-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.double Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1double.html b/classparselib_1_1primitives_1_1double.html index 098ab5eb..afdddfca 100644 --- a/classparselib_1_1primitives_1_1double.html +++ b/classparselib_1_1primitives_1_1double.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.double Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 200 of file primitives.py.

+

Definition at line 204 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 201 of file primitives.py.

+

Definition at line 205 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1long-members.html b/classparselib_1_1primitives_1_1long-members.html index b6c8d648..4d5d4875 100644 --- a/classparselib_1_1primitives_1_1long-members.html +++ b/classparselib_1_1primitives_1_1long-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.long Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1long.html b/classparselib_1_1primitives_1_1long.html index 526d672a..342e7895 100644 --- a/classparselib_1_1primitives_1_1long.html +++ b/classparselib_1_1primitives_1_1long.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.long Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 217 of file primitives.py.

+

Definition at line 221 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 218 of file primitives.py.

+

Definition at line 222 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__bigint-members.html b/classparselib_1_1primitives_1_1sc__bigint-members.html index d6524f6a..d602b817 100644 --- a/classparselib_1_1primitives_1_1sc__bigint-members.html +++ b/classparselib_1_1primitives_1_1sc__bigint-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.sc_bigint Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__bigint.html b/classparselib_1_1primitives_1_1sc__bigint.html index 182b7d89..a718d8a5 100644 --- a/classparselib_1_1primitives_1_1sc__bigint.html +++ b/classparselib_1_1primitives_1_1sc__bigint.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_bigint Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 205 of file primitives.py.

+

Definition at line 209 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -139,7 +152,7 @@

parselib.primitives.sc_bigint.__new__ ( - cls, + cls, @@ -149,7 +162,7 @@

-

Definition at line 206 of file primitives.py.

+

Definition at line 210 of file primitives.py.

@@ -159,7 +172,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__biguint-members.html b/classparselib_1_1primitives_1_1sc__biguint-members.html index e4af25be..14188179 100644 --- a/classparselib_1_1primitives_1_1sc__biguint-members.html +++ b/classparselib_1_1primitives_1_1sc__biguint-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.sc_biguint Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__biguint.html b/classparselib_1_1primitives_1_1sc__biguint.html index c1491267..49109142 100644 --- a/classparselib_1_1primitives_1_1sc__biguint.html +++ b/classparselib_1_1primitives_1_1sc__biguint.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_biguint Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 196 of file primitives.py.

+

Definition at line 200 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -139,7 +152,7 @@

parselib.primitives.sc_biguint.__new__ ( - cls, + cls, @@ -149,7 +162,7 @@

-

Definition at line 197 of file primitives.py.

+

Definition at line 201 of file primitives.py.

@@ -159,7 +172,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__bv-members.html b/classparselib_1_1primitives_1_1sc__bv-members.html index 8e17b989..1d8f15bb 100644 --- a/classparselib_1_1primitives_1_1sc__bv-members.html +++ b/classparselib_1_1primitives_1_1sc__bv-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.sc_bv Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__bv.html b/classparselib_1_1primitives_1_1sc__bv.html index ad0b8020..fe4e87dd 100644 --- a/classparselib_1_1primitives_1_1sc__bv.html +++ b/classparselib_1_1primitives_1_1sc__bv.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_bv Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 width
 width = width
 
- + @@ -171,17 +184,17 @@

parselib.primitives.sc_bv.to_str

- + - + - +

@@ -147,7 +160,7 @@

parselib.primitives.sc_bv.__init__

( self, self,
( self, self,
var_name, var_name,
context = None ) context = None )
@@ -213,7 +226,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__clock-members.html b/classparselib_1_1primitives_1_1sc__clock-members.html index 44340784..d229fa7c 100644 --- a/classparselib_1_1primitives_1_1sc__clock-members.html +++ b/classparselib_1_1primitives_1_1sc__clock-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.sc_clock Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__clock.html b/classparselib_1_1primitives_1_1sc__clock.html index 70ed32bc..07f7be95 100644 --- a/classparselib_1_1primitives_1_1sc__clock.html +++ b/classparselib_1_1primitives_1_1sc__clock.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_clock Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 295 of file primitives.py.

+

Definition at line 299 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 296 of file primitives.py.

+

Definition at line 300 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__in-members.html b/classparselib_1_1primitives_1_1sc__in-members.html index 15ecc272..1bf52125 100644 --- a/classparselib_1_1primitives_1_1sc__in-members.html +++ b/classparselib_1_1primitives_1_1sc__in-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.sc_in Member List
@@ -89,7 +102,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__in.html b/classparselib_1_1primitives_1_1sc__in.html index ef44d0b9..8b3a08da 100644 --- a/classparselib_1_1primitives_1_1sc__in.html +++ b/classparselib_1_1primitives_1_1sc__in.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_in Class Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -246,7 +259,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__int-members.html b/classparselib_1_1primitives_1_1sc__int-members.html index e9e4140f..637955bc 100644 --- a/classparselib_1_1primitives_1_1sc__int-members.html +++ b/classparselib_1_1primitives_1_1sc__int-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.sc_int Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__int.html b/classparselib_1_1primitives_1_1sc__int.html index 00f837be..c4386a52 100644 --- a/classparselib_1_1primitives_1_1sc__int.html +++ b/classparselib_1_1primitives_1_1sc__int.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_int Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 width
 width = width
 

@@ -136,7 +149,7 @@

Detailed Description

-

Definition at line 178 of file primitives.py.

+

Definition at line 180 of file primitives.py.

Constructor & Destructor Documentation

◆ __init__()

@@ -147,7 +160,7 @@

parselib.primitives.sc_int.__init__ ( - self, + self, @@ -157,7 +170,7 @@

-

Definition at line 179 of file primitives.py.

+

Definition at line 181 of file primitives.py.

@@ -171,22 +184,22 @@

parselib.primitives.sc_int.to_str ( - self, + self, - var_name, + var_name, - context = None ) + context = None )

-

Definition at line 182 of file primitives.py.

+

Definition at line 184 of file primitives.py.

@@ -198,12 +211,12 @@

- +
parselib.primitives.sc_int.widthparselib.primitives.sc_int.width = width
-

Definition at line 180 of file primitives.py.

+

Definition at line 182 of file primitives.py.

@@ -213,7 +226,8 @@

+ diff --git a/classparselib_1_1primitives_1_1sc__out-members.html b/classparselib_1_1primitives_1_1sc__out-members.html index 580cf650..237af204 100644 --- a/classparselib_1_1primitives_1_1sc__out-members.html +++ b/classparselib_1_1primitives_1_1sc__out-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.sc_out Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__out.html b/classparselib_1_1primitives_1_1sc__out.html index a6fcc35b..2f932085 100644 --- a/classparselib_1_1primitives_1_1sc__out.html +++ b/classparselib_1_1primitives_1_1sc__out.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_out Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 T
 T = T
 
- + @@ -171,17 +184,17 @@

parselib.primitives.sc_out.to_str

- + - + - +

@@ -147,7 +160,7 @@

parselib.primitives.sc_out.__init__

( self, self,
( self, self,
var_name, var_name,
context = None ) context = None )
@@ -213,7 +226,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__rvd__in-members.html b/classparselib_1_1primitives_1_1sc__rvd__in-members.html index 31c4068d..9acf925a 100644 --- a/classparselib_1_1primitives_1_1sc__rvd__in-members.html +++ b/classparselib_1_1primitives_1_1sc__rvd__in-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.sc_rvd_in Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__rvd__in.html b/classparselib_1_1primitives_1_1sc__rvd__in.html index c58ada3e..cd1d69a6 100644 --- a/classparselib_1_1primitives_1_1sc__rvd__in.html +++ b/classparselib_1_1primitives_1_1sc__rvd__in.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_rvd_in Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 T
 T = T
 
- + @@ -171,17 +184,17 @@

parselib.primitives.sc_rvd_in.to_str

- + - + - +

@@ -147,7 +160,7 @@

parselib.primitives.sc_rvd_in.__init__

( self, self,
( self, self,
var_name, var_name,
context = None ) context = None )
@@ -213,7 +226,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__rvd__out-members.html b/classparselib_1_1primitives_1_1sc__rvd__out-members.html index d6fec601..9c44298a 100644 --- a/classparselib_1_1primitives_1_1sc__rvd__out-members.html +++ b/classparselib_1_1primitives_1_1sc__rvd__out-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.sc_rvd_out Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__rvd__out.html b/classparselib_1_1primitives_1_1sc__rvd__out.html index 7efe3ba0..19feeed9 100644 --- a/classparselib_1_1primitives_1_1sc__rvd__out.html +++ b/classparselib_1_1primitives_1_1sc__rvd__out.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_rvd_out Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 T
 T = T
 
- + @@ -171,17 +184,17 @@

parselib.primitives.sc_rvd_out.to_str

- + - + - +

@@ -147,7 +160,7 @@

parselib.primitives.sc_rvd_out.__init__

( self, self,
( self, self,
var_name, var_name,
context = None ) context = None )
@@ -213,7 +226,8 @@

+

diff --git a/classparselib_1_1primitives_1_1sc__signal-members.html b/classparselib_1_1primitives_1_1sc__signal-members.html index 93fbbc06..f73c7f75 100644 --- a/classparselib_1_1primitives_1_1sc__signal-members.html +++ b/classparselib_1_1primitives_1_1sc__signal-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.sc_signal Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__signal.html b/classparselib_1_1primitives_1_1sc__signal.html index 36a805b0..89042ad1 100644 --- a/classparselib_1_1primitives_1_1sc__signal.html +++ b/classparselib_1_1primitives_1_1sc__signal.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_signal Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 T
 T = T
 

@@ -136,7 +149,7 @@

Detailed Description

-

Definition at line 232 of file primitives.py.

+

Definition at line 236 of file primitives.py.

Constructor & Destructor Documentation

◆ __init__()

@@ -147,7 +160,7 @@

parselib.primitives.sc_signal.__init__ ( - self, + self, @@ -157,7 +170,7 @@

-

Definition at line 233 of file primitives.py.

+

Definition at line 237 of file primitives.py.

@@ -171,22 +184,22 @@

parselib.primitives.sc_signal.to_str ( - self, + self, - var_name, + var_name, - context = None ) + context = None )

-

Definition at line 236 of file primitives.py.

+

Definition at line 240 of file primitives.py.

@@ -198,12 +211,12 @@

- +
parselib.primitives.sc_signal.Tparselib.primitives.sc_signal.T = T
-

Definition at line 234 of file primitives.py.

+

Definition at line 238 of file primitives.py.

@@ -213,7 +226,8 @@

+ diff --git a/classparselib_1_1primitives_1_1sc__uint-members.html b/classparselib_1_1primitives_1_1sc__uint-members.html index 6f904527..131b01b9 100644 --- a/classparselib_1_1primitives_1_1sc__uint-members.html +++ b/classparselib_1_1primitives_1_1sc__uint-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.sc_uint Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1primitives_1_1sc__uint.html b/classparselib_1_1primitives_1_1sc__uint.html index d37bb6a6..6f232a7e 100644 --- a/classparselib_1_1primitives_1_1sc__uint.html +++ b/classparselib_1_1primitives_1_1sc__uint.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.sc_uint Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -116,7 +129,7 @@ - +

Public Attributes

 width
 width = width
 
- + @@ -171,17 +184,17 @@

parselib.primitives.sc_uint.to_str

- + - + - +

@@ -147,7 +160,7 @@

parselib.primitives.sc_uint.__init__

( self, self,
( self, self,
var_name, var_name,
context = None ) context = None )
@@ -213,7 +226,8 @@

+

diff --git a/classparselib_1_1primitives_1_1unsigned______int128-members.html b/classparselib_1_1primitives_1_1unsigned______int128-members.html index d3bc241a..1edf7b71 100644 --- a/classparselib_1_1primitives_1_1unsigned______int128-members.html +++ b/classparselib_1_1primitives_1_1unsigned______int128-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.primitives.unsigned___int128 Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1unsigned______int128.html b/classparselib_1_1primitives_1_1unsigned______int128.html index 8f3199c0..670c7831 100644 --- a/classparselib_1_1primitives_1_1unsigned______int128.html +++ b/classparselib_1_1primitives_1_1unsigned______int128.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.unsigned___int128 Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 213 of file primitives.py.

+

Definition at line 217 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 214 of file primitives.py.

+

Definition at line 218 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1unsigned__long-members.html b/classparselib_1_1primitives_1_1unsigned__long-members.html index 7c11e2fa..f8a4ee3b 100644 --- a/classparselib_1_1primitives_1_1unsigned__long-members.html +++ b/classparselib_1_1primitives_1_1unsigned__long-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.unsigned_long Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1unsigned__long.html b/classparselib_1_1primitives_1_1unsigned__long.html index a745a80c..1f14894f 100644 --- a/classparselib_1_1primitives_1_1unsigned__long.html +++ b/classparselib_1_1primitives_1_1unsigned__long.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.unsigned_long Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 221 of file primitives.py.

+

Definition at line 225 of file primitives.py.

Member Function Documentation

◆ __new__()

@@ -145,7 +158,7 @@

-

Definition at line 222 of file primitives.py.

+

Definition at line 226 of file primitives.py.

@@ -155,7 +168,8 @@

+

diff --git a/classparselib_1_1primitives_1_1vmodule-members.html b/classparselib_1_1primitives_1_1vmodule-members.html index c42cbf0a..7dfc94b7 100644 --- a/classparselib_1_1primitives_1_1vmodule-members.html +++ b/classparselib_1_1primitives_1_1vmodule-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.vmodule Member List
@@ -89,7 +102,8 @@
+ diff --git a/classparselib_1_1primitives_1_1vmodule.html b/classparselib_1_1primitives_1_1vmodule.html index 0439b72a..20beb686 100644 --- a/classparselib_1_1primitives_1_1vmodule.html +++ b/classparselib_1_1primitives_1_1vmodule.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.vmodule Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -113,14 +126,14 @@ - + - +

Public Attributes

 type_name
 type_name = type_name
 
 port_bindings
 port_bindings = port_bindings
 

Detailed Description

-

Definition at line 300 of file primitives.py.

+

Definition at line 304 of file primitives.py.

Constructor & Destructor Documentation

◆ __init__()

@@ -131,22 +144,22 @@

parselib.primitives.vmodule.__init__ ( - self, + self, - type_name, + type_name, - port_bindings = None ) + port_bindings = None )

-

Definition at line 301 of file primitives.py.

+

Definition at line 305 of file primitives.py.

@@ -160,22 +173,22 @@

parselib.primitives.vmodule.to_str ( - self, + self, - var_name, + var_name, - context = None ) + context = None )

-

Definition at line 305 of file primitives.py.

+

Definition at line 309 of file primitives.py.

@@ -187,12 +200,12 @@

- +
parselib.primitives.vmodule.port_bindingsparselib.primitives.vmodule.port_bindings = port_bindings
-

Definition at line 303 of file primitives.py.

+

Definition at line 307 of file primitives.py.

@@ -203,12 +216,12 @@

- +
parselib.primitives.vmodule.type_nameparselib.primitives.vmodule.type_name = type_name
-

Definition at line 302 of file primitives.py.

+

Definition at line 306 of file primitives.py.

@@ -218,7 +231,8 @@

+ diff --git a/classparselib_1_1primitives_1_1void-members.html b/classparselib_1_1primitives_1_1void-members.html index c7a41a1c..7a7eb061 100644 --- a/classparselib_1_1primitives_1_1void-members.html +++ b/classparselib_1_1primitives_1_1void-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.primitives.void Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1primitives_1_1void.html b/classparselib_1_1primitives_1_1void.html index 7e434783..cdef9050 100644 --- a/classparselib_1_1primitives_1_1void.html +++ b/classparselib_1_1primitives_1_1void.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives.void Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,7 +141,7 @@

Detailed Description

-

Definition at line 225 of file primitives.py.

+

Definition at line 229 of file primitives.py.

Member Function Documentation

◆ to_str()

@@ -139,7 +152,7 @@

parselib.primitives.void.to_str ( - self, + self, @@ -149,7 +162,7 @@

-

Definition at line 226 of file primitives.py.

+

Definition at line 230 of file primitives.py.

@@ -159,7 +172,8 @@

+

diff --git a/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation-members.html b/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation-members.html index 048d40b6..62906e2b 100644 --- a/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation-members.html +++ b/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.alias_translation.AliasTranslation Member List
@@ -100,7 +113,8 @@
+ diff --git a/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html b/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html index 5f056451..689a46ba 100644 --- a/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html +++ b/classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.alias_translation.AliasTranslation Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation-members.html b/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation-members.html index 298ca94f..203125b7 100644 --- a/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation-members.html +++ b/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html b/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html index 8e7a2a03..7d0adec4 100644 --- a/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html +++ b/classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.comma_transformation.CommaTransformation Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -128,14 +141,14 @@ - + - - - + + + - - + +

Public Attributes

 broken_down_ops
list broken_down_ops = []
 
 has_comma
 
 lifted
bool has_comma = False
 
 lifted = set()
 
 nesting_assign
 
bool nesting_assign = False
 

Detailed Description

@@ -305,14 +318,14 @@

-

◆ has_comma

+ +

◆ has_comma

- +
parselib.transforms.comma_transformation.CommaTransformation.has_commabool parselib.transforms.comma_transformation.CommaTransformation.has_comma = False
@@ -337,14 +350,14 @@

-

◆ nesting_assign

+ +

◆ nesting_assign

- +
parselib.transforms.comma_transformation.CommaTransformation.nesting_assignbool parselib.transforms.comma_transformation.CommaTransformation.nesting_assign = False
@@ -359,7 +372,8 @@

+

diff --git a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass-members.html b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass-members.html index 67ef44cb..6f2313af 100644 --- a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass-members.html +++ b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.function_info_pass.FunctionInfoPass Member List
@@ -83,40 +96,44 @@

This is the complete list of members for parselib.transforms.function_info_pass.FunctionInfoPass, including all inherited members.

- - + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + +
__current_functionparselib.transforms.function_info_pass.FunctionInfoPassprivate
__current_moduleparselib.transforms.function_info_pass.FunctionInfoPassprivate
__current_processparselib.transforms.function_info_pass.FunctionInfoPassprivate
__current_functionparselib.transforms.function_info_pass.FunctionInfoPass
__current_moduleparselib.transforms.function_info_pass.FunctionInfoPassprivate
__current_processparselib.transforms.function_info_pass.FunctionInfoPassprivate
__current_processparselib.transforms.function_info_pass.FunctionInfoPass
__current_threadparselib.transforms.function_info_pass.FunctionInfoPassprivate
__in_functionparselib.transforms.function_info_pass.FunctionInfoPassprivate
__init__(self)parselib.transforms.function_info_pass.FunctionInfoPass
__local_output_idsparselib.transforms.function_info_pass.FunctionInfoPassprivate
blkassign(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
current_function_assignmentsparselib.transforms.function_info_pass.FunctionInfoPass
current_function_assignments(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_function_assignments(self, val)parselib.transforms.function_info_pass.FunctionInfoPass
current_function_function_invocations(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_module_function_nodesparselib.transforms.function_info_pass.FunctionInfoPass
current_module_function_nodes(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_module_function_nodes(self, val)parselib.transforms.function_info_pass.FunctionInfoPass
current_process_function_invocationsparselib.transforms.function_info_pass.FunctionInfoPass
current_process_function_invocations(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_process_function_invocations(self, val)parselib.transforms.function_info_pass.FunctionInfoPass
current_scope_function_invocations(self)parselib.transforms.function_info_pass.FunctionInfoPass
function_nodesparselib.transforms.function_info_pass.FunctionInfoPass
harrayref(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunction(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunctionbody(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunctionlocalvars(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunctionparams(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hmethodcall(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hmodule(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hprocess(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hslice(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hthreadswitch(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hvarref(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
__current_threadparselib.transforms.function_info_pass.FunctionInfoPass
__in_functionparselib.transforms.function_info_pass.FunctionInfoPassprivate
__init__(self)parselib.transforms.function_info_pass.FunctionInfoPass
__local_output_idsparselib.transforms.function_info_pass.FunctionInfoPassprivate
blkassign(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
current_function_assignmentsparselib.transforms.function_info_pass.FunctionInfoPass
current_function_assignments(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_function_assignments(self, val)parselib.transforms.function_info_pass.FunctionInfoPass
current_function_function_invocations(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_module_function_nodesparselib.transforms.function_info_pass.FunctionInfoPass
current_module_function_nodes(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_module_function_nodes(self, val)parselib.transforms.function_info_pass.FunctionInfoPass
current_process_function_invocationsparselib.transforms.function_info_pass.FunctionInfoPass
current_process_function_invocations(self)parselib.transforms.function_info_pass.FunctionInfoPass
current_process_function_invocations(self, val)parselib.transforms.function_info_pass.FunctionInfoPass
current_scope_function_invocations(self)parselib.transforms.function_info_pass.FunctionInfoPass
function_nodesparselib.transforms.function_info_pass.FunctionInfoPass
harrayref(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunction(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunctionbody(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunctionlocalvars(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hfunctionparams(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hmethodcall(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hmodule(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hprocess(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hslice(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hthreadswitch(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
hvarref(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass
+
diff --git a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html index d5a2ccf9..e6a1c393 100644 --- a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html +++ b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_info_pass.FunctionInfoPass Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Member Functions | @@ -159,28 +172,34 @@ - + - + + + - - - - + + + + + + + +

Public Attributes

 function_nodes
 function_nodes = dict()
 
 current_process_function_invocations
list __current_process = []
 
 current_process_function_invocations = tree.function_invocations
 
 current_module_function_nodes
 
 current_function_assignments
 
list __current_thread = []
 
list current_module_function_nodes = []
 
list __current_function = []
 
list current_function_assignments = []
 
- + - + - - - + + + - + - +

Private Attributes

 __current_module
 __current_module = None
 
 __local_output_ids
 __local_output_ids = set()
 
 __in_function
 
 __current_process
bool __in_function = False
 
 __current_process = None
 
 __current_function
 __current_function = None
 
 __current_thread
 __current_thread = None
 

Detailed Description

@@ -220,7 +239,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.blkassign ( - self, + self, @@ -265,7 +284,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments ( - self, + self, @@ -326,7 +345,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes ( - self, + self, @@ -368,7 +387,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations ( - self, + self, @@ -410,7 +429,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.harrayref ( - self, + self, @@ -433,7 +452,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hfunction ( - self, + self, @@ -456,7 +475,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionbody ( - self, + self, @@ -479,7 +498,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionlocalvars ( - self, + self, @@ -502,7 +521,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionparams ( - self, + self, @@ -525,7 +544,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hmethodcall ( - self, + self, @@ -548,7 +567,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hmodule ( - self, + self, @@ -571,7 +590,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hprocess ( - self, + self, @@ -594,7 +613,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hslice ( - self, + self, @@ -617,7 +636,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hthreadswitch ( - self, + self, @@ -640,7 +659,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass.hvarref ( - self, + self, @@ -656,7 +675,7 @@

Member Data Documentation

-

◆ __current_function

+

◆ __current_function [1/2]

+ +

◆ __current_function [2/2]

+ +
+
+ + + + +
list parselib.transforms.function_info_pass.FunctionInfoPass.__current_function = []
+
+ +

Definition at line 157 of file function_info_pass.py.

+
@@ -689,7 +724,7 @@

- +
parselib.transforms.function_info_pass.FunctionInfoPass.__current_moduleparselib.transforms.function_info_pass.FunctionInfoPass.__current_module = None
@@ -704,7 +739,7 @@

-

◆ __current_process

+

◆ __current_process [1/2]

+ +

◆ __current_process [2/2]

+ +
+
+ + + + +
list parselib.transforms.function_info_pass.FunctionInfoPass.__current_process = []
+
+ +

Definition at line 68 of file function_info_pass.py.

+
-

◆ __current_thread

+

◆ __current_thread [1/2]

@@ -737,7 +788,7 @@

- +
parselib.transforms.function_info_pass.FunctionInfoPass.__current_threadparselib.transforms.function_info_pass.FunctionInfoPass.__current_thread = None
@@ -751,8 +802,24 @@

-

◆ __in_function

+ +

◆ __current_thread [2/2]

+ +
+
+ + + + +
list parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread = []
+
+ +

Definition at line 77 of file function_info_pass.py.

+ +
+
+ +

◆ __in_function

@@ -761,7 +828,7 @@

- +
parselib.transforms.function_info_pass.FunctionInfoPass.__in_functionbool parselib.transforms.function_info_pass.FunctionInfoPass.__in_function = False
@@ -785,7 +852,7 @@

- +
parselib.transforms.function_info_pass.FunctionInfoPass.__local_output_idsparselib.transforms.function_info_pass.FunctionInfoPass.__local_output_ids = set()
@@ -799,14 +866,14 @@

-

◆ current_function_assignments

+ +

◆ current_function_assignments

- +
parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignmentslist parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments = []
@@ -815,14 +882,14 @@

-

◆ current_module_function_nodes

+ +

◆ current_module_function_nodes

- +
parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodeslist parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes = []
@@ -869,7 +936,8 @@

+

diff --git a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2-members.html b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2-members.html index e8b42ee8..dad7f989 100644 --- a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2-members.html +++ b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
parselib.transforms.function_info_pass.FunctionInfoPass2 Member List
@@ -94,25 +107,27 @@ add_id_type(self, id, tpe)parselib.transforms.function_info_pass.FunctionInfoPass2 augment_name_stub(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 copy_and_strip_io(self, tp)parselib.transforms.function_info_pass.FunctionInfoPass2 - current_function_nodes(self)parselib.transforms.function_info_pass.FunctionInfoPass2 - current_phantom_nameparselib.transforms.function_info_pass.FunctionInfoPass2 - current_phantom_name(self)parselib.transforms.function_info_pass.FunctionInfoPass2 - funcparami(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - funcparamio(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - hfunction(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - hmodule(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - hprocess(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - new_phantom_var_name(self, tpe)parselib.transforms.function_info_pass.FunctionInfoPass2 - pop_scope(self)parselib.transforms.function_info_pass.FunctionInfoPass2 - portdecltype(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - push_scope(self)parselib.transforms.function_info_pass.FunctionInfoPass2 - search_id_def(self, id, allow_failure=False)parselib.transforms.function_info_pass.FunctionInfoPass2 - sigdecltype(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 - vardeclinit(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + current_function_nodesparselib.transforms.function_info_pass.FunctionInfoPass2 + current_function_nodes(self)parselib.transforms.function_info_pass.FunctionInfoPass2 + current_phantom_nameparselib.transforms.function_info_pass.FunctionInfoPass2 + current_phantom_name(self)parselib.transforms.function_info_pass.FunctionInfoPass2 + funcparami(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + funcparamio(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + hfunction(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + hmodule(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + hprocess(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + new_phantom_var_name(self, tpe)parselib.transforms.function_info_pass.FunctionInfoPass2 + pop_scope(self)parselib.transforms.function_info_pass.FunctionInfoPass2 + portdecltype(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + push_scope(self)parselib.transforms.function_info_pass.FunctionInfoPass2 + search_id_def(self, id, allow_failure=False)parselib.transforms.function_info_pass.FunctionInfoPass2 + sigdecltype(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2 + vardeclinit(self, tree)parselib.transforms.function_info_pass.FunctionInfoPass2
+
diff --git a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html index 6a21a885..907aa6f3 100644 --- a/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html +++ b/classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_info_pass.FunctionInfoPass2 Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
@@ -363,7 +378,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.copy_and_strip_io ( - self, + self, @@ -424,7 +439,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.funcparami ( - self, + self, @@ -447,7 +462,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.funcparamio ( - self, + self, @@ -470,7 +485,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.hfunction ( - self, + self, @@ -480,7 +495,7 @@

-

Definition at line 342 of file function_info_pass.py.

+

Definition at line 341 of file function_info_pass.py.

@@ -493,7 +508,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.hmodule ( - self, + self, @@ -516,7 +531,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.hprocess ( - self, + self, @@ -526,7 +541,7 @@

-

Definition at line 332 of file function_info_pass.py.

+

Definition at line 331 of file function_info_pass.py.

@@ -539,7 +554,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.new_phantom_var_name ( - self, + self, @@ -581,7 +596,7 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.portdecltype ( - self, + self, @@ -623,17 +638,17 @@

parselib.transforms.function_info_pass.FunctionInfoPass2.search_id_def ( - self, + self, - id, + id, - allow_failure = False ) + allow_failure = False )

+
+ +

◆ current_function_nodes

+ +
+
+ + + + +
parselib.transforms.function_info_pass.FunctionInfoPass2.current_function_nodes = func_name[:-1]:
+
+ +

Definition at line 218 of file function_info_pass.py.

+
@@ -831,7 +862,8 @@

+

diff --git a/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker-members.html b/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker-members.html index 275a3ac8..c6bb6144 100644 --- a/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker-members.html +++ b/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + + + + diff --git a/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html b/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html index 9bc412ab..81d5ff87 100644 --- a/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html +++ b/classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_param_marker.FunctionParamMarker Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -126,8 +139,10 @@ - + + +

Public Attributes

 expanded
 expanded = list()
 
str __is_in_function = '=':
 
@@ -140,9 +155,9 @@

Private Member Functions

- - - + + +

Private Attributes

 __is_in_function
 
 __driven_signals
bool __is_in_function = False
 
 __driven_signals = set()
 

Detailed Description

@@ -212,7 +227,7 @@

parselib.transforms.function_param_marker.FunctionParamMarker.__get_driven_sig_name ( - self, + self, @@ -246,7 +261,7 @@

parselib.transforms.function_param_marker.FunctionParamMarker.__record_driven_signal ( - self, + self, @@ -274,7 +289,7 @@

parselib.transforms.function_param_marker.FunctionParamMarker.blkassign ( - self, + self, @@ -297,7 +312,7 @@

parselib.transforms.function_param_marker.FunctionParamMarker.hbinop ( - self, + self, @@ -320,7 +335,7 @@

parselib.transforms.function_param_marker.FunctionParamMarker.hfunction ( - self, + self, @@ -345,7 +360,7 @@

- +
parselib.transforms.function_param_marker.FunctionParamMarker.__driven_signalsparselib.transforms.function_param_marker.FunctionParamMarker.__driven_signals = set()
@@ -359,8 +374,8 @@

-

◆ __is_in_function

+ +

◆ __is_in_function [1/2]

+ +

◆ __is_in_function [2/2]

+ +
+
+ + + + +
str parselib.transforms.function_param_marker.FunctionParamMarker.__is_in_function = '=':
+
+ +

Definition at line 38 of file function_param_marker.py.

+
@@ -390,7 +421,7 @@

- +
parselib.transforms.function_param_marker.FunctionParamMarker.expandedparselib.transforms.function_param_marker.FunctionParamMarker.expanded = list()

@@ -405,7 +436,8 @@

+

diff --git a/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass-members.html b/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass-members.html index ccc7cb04..64c3b253 100644 --- a/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass-members.html +++ b/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.function_transformation_pass.FunctionTransformationPass Member List
@@ -85,12 +98,14 @@ __add_func_name_stub_to_current_process(self, func)parselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __change_type_to_funcinput(self, tree)parselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __current_functionparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate - __current_functionsparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate + __current_functionsparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __current_moduleparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __current_module_scope_varsparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __current_module_sense_listparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __current_processparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate - __current_threadparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate + __current_processparselib.transforms.function_transformation_pass.FunctionTransformationPass + __current_threadparselib.transforms.function_transformation_pass.FunctionTransformationPassprivate + __current_threadparselib.transforms.function_transformation_pass.FunctionTransformationPass __extract_func_def(self, tree)parselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __extract_func_id(self, tree)parselib.transforms.function_transformation_pass.FunctionTransformationPassprivate __extract_id_from_func_arg(self, tree)parselib.transforms.function_transformation_pass.FunctionTransformationPassprivate @@ -127,7 +142,8 @@
+ diff --git a/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html b/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html index 3e02eec1..cef570d6 100644 --- a/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html +++ b/classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_transformation_pass.FunctionTransformationPass Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -166,6 +179,10 @@ Public Attributes

 current_module_function_nodes   +list __current_thread = [] +  +list __current_process = [] +  @@ -188,25 +205,25 @@

Private Member Functions

- - - + + + - + - + - + - + - + - + - + - +

Private Attributes

 __current_functions
 
 __current_function
list __current_functions = []
 
 __current_function = None
 
 __current_process
 __current_process = None
 
 __current_thread
 __current_thread = None
 
 __func_param_stubs
 __func_param_stubs = None
 
 __in_module_scope
bool __in_module_scope = False
 
 __current_module_scope_vars
 __current_module_scope_vars = None
 
 __current_module_sense_list
 __current_module_sense_list = None
 
 __sense_list_proc_name
 __sense_list_proc_name = None
 
 __current_module
 __current_module = tree
 

Detailed Description

@@ -249,7 +266,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__add_func_name_stub_to_current_process ( - self, + self, @@ -280,7 +297,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__change_type_to_funcinput ( - self, + self, @@ -311,7 +328,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_func_def ( - self, + self, @@ -342,7 +359,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_func_id ( - self, + self, @@ -373,7 +390,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_id_from_func_arg ( - self, + self, @@ -404,7 +421,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__get_current_process_stub ( - self, + self, @@ -435,7 +452,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__get_func_param_stub ( - self, + self, @@ -466,7 +483,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.__search_current_function ( - self, + self, @@ -494,7 +511,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.add_func_name_stub_to_current_scope ( - self, + self, @@ -517,7 +534,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.check_blocking ( - self, + self, @@ -559,7 +576,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes ( - self, + self, @@ -601,7 +618,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.harrayref ( - self, + self, @@ -624,7 +641,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunction ( - self, + self, @@ -647,7 +664,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionlocalvars ( - self, + self, @@ -670,7 +687,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionparams ( - self, + self, @@ -693,7 +710,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmethodcall ( - self, + self, @@ -716,7 +733,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmodule ( - self, + self, @@ -739,7 +756,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hprocess ( - self, + self, @@ -762,7 +779,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsenslist ( - self, + self, @@ -785,7 +802,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsensvar ( - self, + self, @@ -808,7 +825,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hthreadswitch ( - self, + self, @@ -831,7 +848,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.hvarref ( - self, + self, @@ -854,7 +871,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.modportsiglist ( - self, + self, @@ -877,7 +894,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.portdecltype ( - self, + self, @@ -900,7 +917,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.prevardecl ( - self, + self, @@ -923,7 +940,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.sigdecltype ( - self, + self, @@ -946,7 +963,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.strip_io_or_default ( - self, + self, @@ -969,7 +986,7 @@

parselib.transforms.function_transformation_pass.FunctionTransformationPass.vardeclinit ( - self, + self, @@ -994,7 +1011,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_functionparselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_function = None
@@ -1008,8 +1025,8 @@

-

◆ __current_functions

+ +

◆ __current_functions

@@ -1018,7 +1035,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_functionslist parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_functions = []
@@ -1042,7 +1059,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_moduleparselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module = tree
@@ -1066,7 +1083,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module_scope_varsparselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module_scope_vars = None
@@ -1090,7 +1107,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module_sense_listparselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module_sense_list = None
@@ -1105,7 +1122,7 @@

-

◆ __current_process

+

◆ __current_process [1/2]

+ +

◆ __current_process [2/2]

+ +
+
+ + + + +
list parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process = []
+
+ +

Definition at line 119 of file function_transformation_pass.py.

+
-

◆ __current_thread

+

◆ __current_thread [1/2]

+ +

◆ __current_thread [2/2]

+ +
+
+ + + + +
list parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread = []
+
+ +

Definition at line 112 of file function_transformation_pass.py.

+
@@ -1162,7 +1211,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__func_param_stubsparselib.transforms.function_transformation_pass.FunctionTransformationPass.__func_param_stubs = None
@@ -1186,7 +1235,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__in_module_scopeparselib.transforms.function_transformation_pass.FunctionTransformationPass.__in_module_scope = False
@@ -1210,7 +1259,7 @@

- +
parselib.transforms.function_transformation_pass.FunctionTransformationPass.__sense_list_proc_nameparselib.transforms.function_transformation_pass.FunctionTransformationPass.__sense_list_proc_name = None
@@ -1236,7 +1285,7 @@

-

Definition at line 56 of file function_transformation_pass.py.

+

Definition at line 52 of file function_transformation_pass.py.

@@ -1246,7 +1295,8 @@

+ diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1Interface-members.html b/classparselib_1_1transforms_1_1interface__generation_1_1Interface-members.html new file mode 100644 index 00000000..175327fc --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1Interface-members.html @@ -0,0 +1,108 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
parselib.transforms.interface_generation.Interface Member List
+
+ + + +
+ + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1Interface.html b/classparselib_1_1transforms_1_1interface__generation_1_1Interface.html new file mode 100644 index 00000000..9f5eeb79 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1Interface.html @@ -0,0 +1,215 @@ + + + + + + + +systemc-clang: parselib.transforms.interface_generation.Interface Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.interface_generation.Interface Class Reference
+
+
+
+Collaboration diagram for parselib.transforms.interface_generation.Interface:
+
+
Collaboration graph
+ + + + + +
[legend]
+ + + + +

+Public Member Functions

str generate_interface_decl_name (self)
 
+ + + +

+Static Public Member Functions

str generate_instance_name (instance_name, is_array)
 
+ + + +

+Static Public Attributes

typing interfaces .List[PortDecl]
 
+

Detailed Description

+
+

Definition at line 15 of file interface_generation.py.

+

Member Function Documentation

+ +

◆ generate_instance_name()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
str parselib.transforms.interface_generation.Interface.generate_instance_name ( instance_name,
is_array )
+
+static
+
+ +

Definition at line 21 of file interface_generation.py.

+ +
+
+ +

◆ generate_interface_decl_name()

+ +
+
+ + + + + + + +
str parselib.transforms.interface_generation.Interface.generate_interface_decl_name ( self)
+
+ +

Definition at line 27 of file interface_generation.py.

+ +
+
+

Member Data Documentation

+ +

◆ interfaces

+ +
+
+ + + + + +
+ + + + +
typing parselib.transforms.interface_generation.Interface.interfaces .List[PortDecl]
+
+static
+
+ +

Definition at line 18 of file interface_generation.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration-members.html b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration-members.html new file mode 100644 index 00000000..abccf803 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration-members.html @@ -0,0 +1,118 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+ + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html new file mode 100644 index 00000000..19bd4f6a --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html @@ -0,0 +1,495 @@ + + + + + + + +systemc-clang: parselib.transforms.interface_generation.InterfaceGeneration Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.interface_generation.InterfaceGeneration Class Reference
+
+
+
+Inheritance diagram for parselib.transforms.interface_generation.InterfaceGeneration:
+
+
Inheritance graph
+ + + + + + + +
[legend]
+
+Collaboration diagram for parselib.transforms.interface_generation.InterfaceGeneration:
+
+
Collaboration graph
+ + + + + + + +
[legend]
+ + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 __init__ (self)
 
 modulelist (self, tree)
 
 hmodule (self, tree)
 
 htypeinfo (self, tree)
 
 inportdecl (self, tree)
 
 outportdecl (self, tree)
 
 portdecltype (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
+ + + + + +

+Public Attributes

 ctx = ContextManager()
 
dict interface_meta_data = {}
 
+ + + + + + + + + +

+Protected Member Functions

 _portdecltype_to_sigdecltype (self, tree)
 
 _modportsiglist (self, tree)
 
 _portdecltype (self, tree)
 
 _htype (self, tree)
 
+

Detailed Description

+
This module generate interface definitions, and put them in the start of the nodes
+
+

Definition at line 30 of file interface_generation.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.__init__ ( self)
+
+ +

Reimplemented from parselib.transforms.top_down.TopDown.

+ +

Definition at line 34 of file interface_generation.py.

+ +
+
+

Member Function Documentation

+ +

◆ _htype()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration._htype ( self,
tree )
+
+protected
+
+ +

Definition at line 137 of file interface_generation.py.

+ +
+
+ +

◆ _modportsiglist()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration._modportsiglist ( self,
tree )
+
+protected
+
+ +

Definition at line 107 of file interface_generation.py.

+ +
+
+ +

◆ _portdecltype()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration._portdecltype ( self,
tree )
+
+protected
+
+ +

Definition at line 132 of file interface_generation.py.

+ +
+
+ +

◆ _portdecltype_to_sigdecltype()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration._portdecltype_to_sigdecltype ( self,
tree )
+
+protected
+
+ +

Definition at line 100 of file interface_generation.py.

+ +
+
+ +

◆ hmodule()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.hmodule ( self,
tree )
+
+ +

Definition at line 61 of file interface_generation.py.

+ +
+
+ +

◆ htypeinfo()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.htypeinfo ( self,
tree )
+
+ +

Definition at line 73 of file interface_generation.py.

+ +
+
+ +

◆ inportdecl()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.inportdecl ( self,
tree )
+
+ +

Definition at line 77 of file interface_generation.py.

+ +
+
+ +

◆ modulelist()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.modulelist ( self,
tree )
+
+ +

Definition at line 39 of file interface_generation.py.

+ +
+
+ +

◆ outportdecl()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.outportdecl ( self,
tree )
+
+ +

Definition at line 82 of file interface_generation.py.

+ +
+
+ +

◆ portdecltype()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceGeneration.portdecltype ( self,
tree )
+
+ +

Definition at line 87 of file interface_generation.py.

+ +
+
+

Member Data Documentation

+ +

◆ ctx

+ +
+
+ + + + +
parselib.transforms.interface_generation.InterfaceGeneration.ctx = ContextManager()
+
+ +

Definition at line 35 of file interface_generation.py.

+ +
+
+ +

◆ interface_meta_data

+ +
+
+ + + + +
dict parselib.transforms.interface_generation.InterfaceGeneration.interface_meta_data = {}
+
+ +

Definition at line 36 of file interface_generation.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.map b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.map new file mode 100644 index 00000000..92a2de37 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.md5 b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.md5 new file mode 100644 index 00000000..1f76dd68 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.md5 @@ -0,0 +1 @@ +a54a8fd9888815d13470f4bb9b5f0032 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.png b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.png new file mode 100644 index 00000000..3b093102 Binary files /dev/null and b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.map b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.map new file mode 100644 index 00000000..92a2de37 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.md5 b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.md5 new file mode 100644 index 00000000..1f76dd68 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.md5 @@ -0,0 +1 @@ +a54a8fd9888815d13470f4bb9b5f0032 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.png b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.png new file mode 100644 index 00000000..3b093102 Binary files /dev/null and b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement-members.html b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement-members.html new file mode 100644 index 00000000..0cf0e449 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement-members.html @@ -0,0 +1,113 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+ + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html new file mode 100644 index 00000000..afe03c15 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html @@ -0,0 +1,337 @@ + + + + + + + +systemc-clang: parselib.transforms.interface_generation.InterfaceReplacement Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.interface_generation.InterfaceReplacement Class Reference
+
+
+
+Inheritance diagram for parselib.transforms.interface_generation.InterfaceReplacement:
+
+
Inheritance graph
+ + + + + + + +
[legend]
+
+Collaboration diagram for parselib.transforms.interface_generation.InterfaceReplacement:
+
+
Collaboration graph
+ + + + + + + +
[legend]
+ + + + + + + + + + + + + + + + + +

+Public Member Functions

 __init__ (self, port_meta)
 
 hmodule (self, tree)
 
 genbindinglist (self, tree)
 
 portbindinglist (self, tree)
 
 hvarref (self, tree)
 
 hprocess (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
+ + + + + +

+Public Attributes

 port_meta = port_meta
 
 ctx = ContextManager()
 
+

Detailed Description

+
Now we replace any interface port reference with the actual interface
+

Definition at line 156 of file interface_generation.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceReplacement.__init__ ( self,
port_meta )
+
+ +

Reimplemented from parselib.transforms.top_down.TopDown.

+ +

Definition at line 159 of file interface_generation.py.

+ +
+
+

Member Function Documentation

+ +

◆ genbindinglist()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceReplacement.genbindinglist ( self,
tree )
+
+ +

Definition at line 176 of file interface_generation.py.

+ +
+
+ +

◆ hmodule()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceReplacement.hmodule ( self,
tree )
+
+ +

Definition at line 164 of file interface_generation.py.

+ +
+
+ +

◆ hprocess()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceReplacement.hprocess ( self,
tree )
+
+ +

Definition at line 230 of file interface_generation.py.

+ +
+
+ +

◆ hvarref()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceReplacement.hvarref ( self,
tree )
+
+ +

Definition at line 210 of file interface_generation.py.

+ +
+
+ +

◆ portbindinglist()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.interface_generation.InterfaceReplacement.portbindinglist ( self,
tree )
+
+ +

Definition at line 181 of file interface_generation.py.

+ +
+
+

Member Data Documentation

+ +

◆ ctx

+ +
+
+ + + + +
parselib.transforms.interface_generation.InterfaceReplacement.ctx = ContextManager()
+
+ +

Definition at line 161 of file interface_generation.py.

+ +
+
+ +

◆ port_meta

+ +
+
+ + + + +
parselib.transforms.interface_generation.InterfaceReplacement.port_meta = port_meta
+
+ +

Definition at line 160 of file interface_generation.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.map b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.map new file mode 100644 index 00000000..aebbfb4f --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.md5 b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.md5 new file mode 100644 index 00000000..40da7902 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.md5 @@ -0,0 +1 @@ +bdc708a88975fa4b8ec464a27d52ce26 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.png b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.png new file mode 100644 index 00000000..7446dea4 Binary files /dev/null and b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.map b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.map new file mode 100644 index 00000000..aebbfb4f --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.md5 b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.md5 new file mode 100644 index 00000000..40da7902 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.md5 @@ -0,0 +1 @@ +bdc708a88975fa4b8ec464a27d52ce26 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.png b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.png new file mode 100644 index 00000000..7446dea4 Binary files /dev/null and b/classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.map b/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.map new file mode 100644 index 00000000..575b17e6 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.map @@ -0,0 +1,5 @@ + + + + + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.md5 b/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.md5 new file mode 100644 index 00000000..b909e3c9 --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.md5 @@ -0,0 +1 @@ +f6117c1899fb612e6cc1c260c665868e \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.png b/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.png new file mode 100644 index 00000000..8fa59290 Binary files /dev/null and b/classparselib_1_1transforms_1_1interface__generation_1_1Interface__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1PortDecl-members.html b/classparselib_1_1transforms_1_1interface__generation_1_1PortDecl-members.html new file mode 100644 index 00000000..de6c390f --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1PortDecl-members.html @@ -0,0 +1,106 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
parselib.transforms.interface_generation.PortDecl Member List
+
+
+ +

This is the complete list of members for parselib.transforms.interface_generation.PortDecl, including all inherited members.

+ + +
typeparselib.transforms.interface_generation.PortDeclstatic
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html b/classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html new file mode 100644 index 00000000..1b1cd50d --- /dev/null +++ b/classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html @@ -0,0 +1,142 @@ + + + + + + + +systemc-clang: parselib.transforms.interface_generation.PortDecl Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.interface_generation.PortDecl Class Reference
+
+
+ + + + +

+Static Public Attributes

str type : ...
 
+

Detailed Description

+
+

Definition at line 9 of file interface_generation.py.

+

Member Data Documentation

+ +

◆ type

+ +
+
+ + + + + +
+ + + + +
str parselib.transforms.interface_generation.PortDecl.type : ...
+
+static
+
+ +

Definition at line 11 of file interface_generation.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion-members.html b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion-members.html index 50c7b020..91e9dd86 100644 --- a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion-members.html +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.literal_expansion.LiteralExpansion Member List
@@ -83,27 +96,29 @@

This is the complete list of members for parselib.transforms.literal_expansion.LiteralExpansion, including all inherited members.

- - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + +
__init__(self, structure)parselib.transforms.literal_expansion.LiteralExpansion
current_moduleparselib.transforms.literal_expansion.LiteralExpansion
field_accessparselib.transforms.literal_expansion.LiteralExpansion
hasync(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hfieldaccess(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hmodule(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hsensvar(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
htype(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
htypeint(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hvarref(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
idlit(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
is_port_bindingparselib.transforms.literal_expansion.LiteralExpansion
npa(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
numlit(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
numlitwidth(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
port_binding_moduleparselib.transforms.literal_expansion.LiteralExpansion
portbinding(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
structureparselib.transforms.literal_expansion.LiteralExpansion
_get_port_binding_moduel(self, tree)parselib.transforms.literal_expansion.LiteralExpansionprotected
current_moduleparselib.transforms.literal_expansion.LiteralExpansion
field_accessparselib.transforms.literal_expansion.LiteralExpansion
hasync(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hfieldaccess(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hmodule(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hsensvar(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
htype(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
htypeint(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
hvarref(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
idlit(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
is_port_bindingparselib.transforms.literal_expansion.LiteralExpansion
npa(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
numlit(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
numlitwidth(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
port_binding_moduleparselib.transforms.literal_expansion.LiteralExpansion
portbinding(self, tree)parselib.transforms.literal_expansion.LiteralExpansion
structureparselib.transforms.literal_expansion.LiteralExpansion
+ diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html index fdc1d63e..68bb4f41 100644 --- a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.literal_expansion.LiteralExpansion Class Reference + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.literal_expansion.LiteralExpansion Class Reference
@@ -142,20 +156,25 @@ - + - - - + + + - - - + + + +

Public Attributes

 structure
 structure = structure
 
 is_port_binding
 
 port_binding_module
bool is_port_binding = False
 
 port_binding_module = None
 
 field_access
 
 current_module
list field_access = None
 
 current_module = tree.children[0]
 
+ + +

+Protected Member Functions

 _get_port_binding_moduel (self, tree)
 

Detailed Description

Expands integer literal into int
-

Definition at line 10 of file literal_expansion.py.

+

Definition at line 11 of file literal_expansion.py.

Constructor & Destructor Documentation

◆ __init__()

@@ -166,7 +185,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.__init__ ( - self, + self, @@ -178,11 +197,44 @@

parselib.transforms.top_down.TopDown.

-

Definition at line 12 of file literal_expansion.py.

+

Definition at line 13 of file literal_expansion.py.

Member Function Documentation

+ +

◆ _get_port_binding_moduel()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.literal_expansion.LiteralExpansion._get_port_binding_moduel ( self,
tree )
+
+protected
+
+
Get the name of the module that corresponds
+to this port-binding
+
+

Definition at line 20 of file literal_expansion.py.

+ +
+

◆ hasync()

@@ -192,7 +244,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.hasync ( - self, + self, @@ -202,7 +254,7 @@

-

Definition at line 117 of file literal_expansion.py.

+

Definition at line 134 of file literal_expansion.py.

@@ -215,7 +267,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.hfieldaccess ( - self, + self, @@ -235,7 +287,7 @@

Definition at line 27 of file literal_expansion.py.

+

Definition at line 44 of file literal_expansion.py.

@@ -248,7 +300,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.hmodule ( - self, + self, @@ -258,7 +310,7 @@

-

Definition at line 129 of file literal_expansion.py.

+

Definition at line 146 of file literal_expansion.py.

@@ -271,7 +323,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.hsensvar ( - self, + self, @@ -281,7 +333,7 @@

-

Definition at line 109 of file literal_expansion.py.

+

Definition at line 126 of file literal_expansion.py.

@@ -294,7 +346,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.htype ( - self, + self, @@ -304,7 +356,7 @@

-

Definition at line 102 of file literal_expansion.py.

+

Definition at line 119 of file literal_expansion.py.

@@ -317,7 +369,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.htypeint ( - self, + self, @@ -327,7 +379,7 @@

-

Definition at line 99 of file literal_expansion.py.

+

Definition at line 116 of file literal_expansion.py.

@@ -340,7 +392,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.hvarref ( - self, + self, @@ -350,7 +402,7 @@

-

Definition at line 50 of file literal_expansion.py.

+

Definition at line 67 of file literal_expansion.py.

@@ -363,7 +415,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.idlit ( - self, + self, @@ -373,7 +425,7 @@

-

Definition at line 86 of file literal_expansion.py.

+

Definition at line 103 of file literal_expansion.py.

@@ -386,7 +438,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.npa ( - self, + self, @@ -396,7 +448,7 @@

-

Definition at line 126 of file literal_expansion.py.

+

Definition at line 143 of file literal_expansion.py.

@@ -409,7 +461,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.numlit ( - self, + self, @@ -419,7 +471,7 @@

-

Definition at line 90 of file literal_expansion.py.

+

Definition at line 107 of file literal_expansion.py.

@@ -432,7 +484,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.numlitwidth ( - self, + self, @@ -442,7 +494,7 @@

-

Definition at line 94 of file literal_expansion.py.

+

Definition at line 111 of file literal_expansion.py.

@@ -455,7 +507,7 @@

parselib.transforms.literal_expansion.LiteralExpansion.portbinding ( - self, + self, @@ -465,7 +517,7 @@

-

Definition at line 19 of file literal_expansion.py.

+

Definition at line 35 of file literal_expansion.py.

@@ -477,44 +529,44 @@

- +
parselib.transforms.literal_expansion.LiteralExpansion.current_moduleparselib.transforms.literal_expansion.LiteralExpansion.current_module = tree.children[0]
- -

◆ field_access

+ +

◆ field_access

- +
parselib.transforms.literal_expansion.LiteralExpansion.field_accesslist parselib.transforms.literal_expansion.LiteralExpansion.field_access = None
-

Definition at line 17 of file literal_expansion.py.

+

Definition at line 18 of file literal_expansion.py.

- -

◆ is_port_binding

+ +

◆ is_port_binding

- +
parselib.transforms.literal_expansion.LiteralExpansion.is_port_bindingbool parselib.transforms.literal_expansion.LiteralExpansion.is_port_binding = False
-

Definition at line 15 of file literal_expansion.py.

+

Definition at line 16 of file literal_expansion.py.

@@ -525,12 +577,12 @@

- +
parselib.transforms.literal_expansion.LiteralExpansion.port_binding_moduleparselib.transforms.literal_expansion.LiteralExpansion.port_binding_module = None
@@ -541,12 +593,12 @@

- +
parselib.transforms.literal_expansion.LiteralExpansion.structureparselib.transforms.literal_expansion.LiteralExpansion.structure = structure
@@ -556,7 +608,8 @@

+ diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2-members.html b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2-members.html new file mode 100644 index 00000000..90d8105c --- /dev/null +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2-members.html @@ -0,0 +1,109 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
parselib.transforms.literal_expansion.LiteralExpansion2 Member List
+
+ + + +
+ + diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html new file mode 100644 index 00000000..13af5761 --- /dev/null +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html @@ -0,0 +1,242 @@ + + + + + + + +systemc-clang: parselib.transforms.literal_expansion.LiteralExpansion2 Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.literal_expansion.LiteralExpansion2 Class Reference
+
+
+
+Inheritance diagram for parselib.transforms.literal_expansion.LiteralExpansion2:
+
+
Inheritance graph
+ + + + + + + +
[legend]
+
+Collaboration diagram for parselib.transforms.literal_expansion.LiteralExpansion2:
+
+
Collaboration graph
+ + + + + + + +
[legend]
+ + + + + + + + + + + + + +

+Public Member Functions

 __init__ (self)
 
 hvarref (self, tree)
 
 handle_list (self, lst)
 
 portbindinglist (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
+

Detailed Description

+
+

Definition at line 153 of file literal_expansion.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + +
parselib.transforms.literal_expansion.LiteralExpansion2.__init__ ( self)
+
+ +

Reimplemented from parselib.transforms.top_down.TopDown.

+ +

Definition at line 154 of file literal_expansion.py.

+ +
+
+

Member Function Documentation

+ +

◆ handle_list()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.literal_expansion.LiteralExpansion2.handle_list ( self,
lst )
+
+ +

Definition at line 162 of file literal_expansion.py.

+ +
+
+ +

◆ hvarref()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.literal_expansion.LiteralExpansion2.hvarref ( self,
tree )
+
+ +

Definition at line 157 of file literal_expansion.py.

+ +
+
+ +

◆ portbindinglist()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.literal_expansion.LiteralExpansion2.portbindinglist ( self,
tree )
+
+ +

Definition at line 167 of file literal_expansion.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.map b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.map new file mode 100644 index 00000000..ea0d06f8 --- /dev/null +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.md5 b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.md5 new file mode 100644 index 00000000..9f52e669 --- /dev/null +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.md5 @@ -0,0 +1 @@ +3a549ba36cdf047671c6bd9df2a175ed \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.png b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.png new file mode 100644 index 00000000..04bff290 Binary files /dev/null and b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.map b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.map new file mode 100644 index 00000000..ea0d06f8 --- /dev/null +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.md5 b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.md5 new file mode 100644 index 00000000..9f52e669 --- /dev/null +++ b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.md5 @@ -0,0 +1 @@ +3a549ba36cdf047671c6bd9df2a175ed \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.png b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.png new file mode 100644 index 00000000..04bff290 Binary files /dev/null and b/classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub-members.html b/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub-members.html index b0a16e7a..e5ccb28c 100644 --- a/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub-members.html +++ b/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.name_stub.FuncNameStub Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html b/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html index 3de2a541..b8006ffa 100644 --- a/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html +++ b/classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.name_stub.FuncNameStub Class Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -146,7 +159,8 @@

+

diff --git a/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub-members.html b/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub-members.html index e504938f..806219b9 100644 --- a/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub-members.html +++ b/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.name_stub.FuncParamNameStub Member List
@@ -87,7 +100,8 @@
+ diff --git a/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html b/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html index 5071a352..a215ea95 100644 --- a/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html +++ b/classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.name_stub.FuncParamNameStub Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub-members.html b/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub-members.html index a84914b1..1e73a462 100644 --- a/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub-members.html +++ b/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.name_stub.ProcessVarNameStub Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html b/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html index 307d886b..3e56fbaa 100644 --- a/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html +++ b/classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.name_stub.ProcessVarNameStub Class Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -200,7 +213,8 @@

+

diff --git a/classparselib_1_1transforms_1_1node_1_1Always-members.html b/classparselib_1_1transforms_1_1node_1_1Always-members.html index 74329ab7..b2899c38 100644 --- a/classparselib_1_1transforms_1_1node_1_1Always-members.html +++ b/classparselib_1_1transforms_1_1node_1_1Always-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node.Always Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node_1_1Always.html b/classparselib_1_1transforms_1_1node_1_1Always.html index 11a35004..0a21ea63 100644 --- a/classparselib_1_1transforms_1_1node_1_1Always.html +++ b/classparselib_1_1transforms_1_1node_1_1Always.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node.Always Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1node_1_1ArrayDeref-members.html b/classparselib_1_1transforms_1_1node_1_1ArrayDeref-members.html index b67f447b..8df9adab 100644 --- a/classparselib_1_1transforms_1_1node_1_1ArrayDeref-members.html +++ b/classparselib_1_1transforms_1_1node_1_1ArrayDeref-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node.ArrayDeref Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node_1_1ArrayDeref.html b/classparselib_1_1transforms_1_1node_1_1ArrayDeref.html index dfea9fa2..97abb428 100644 --- a/classparselib_1_1transforms_1_1node_1_1ArrayDeref.html +++ b/classparselib_1_1transforms_1_1node_1_1ArrayDeref.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node.ArrayDeref Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1node_1_1Expression-members.html b/classparselib_1_1transforms_1_1node_1_1Expression-members.html index f4cfb054..b9ee8657 100644 --- a/classparselib_1_1transforms_1_1node_1_1Expression-members.html +++ b/classparselib_1_1transforms_1_1node_1_1Expression-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node.Expression Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node_1_1Expression.html b/classparselib_1_1transforms_1_1node_1_1Expression.html index f66e16e8..0e4ccd70 100644 --- a/classparselib_1_1transforms_1_1node_1_1Expression.html +++ b/classparselib_1_1transforms_1_1node_1_1Expression.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node.Expression Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -137,7 +150,8 @@

+

diff --git a/classparselib_1_1transforms_1_1node_1_1Node-members.html b/classparselib_1_1transforms_1_1node_1_1Node-members.html index ef086725..797e5634 100644 --- a/classparselib_1_1transforms_1_1node_1_1Node-members.html +++ b/classparselib_1_1transforms_1_1node_1_1Node-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node.Node Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node_1_1Node.html b/classparselib_1_1transforms_1_1node_1_1Node.html index 763882fd..6eb71473 100644 --- a/classparselib_1_1transforms_1_1node_1_1Node.html +++ b/classparselib_1_1transforms_1_1node_1_1Node.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node.Node Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -117,7 +130,8 @@

+

diff --git a/classparselib_1_1transforms_1_1node_1_1Statement-members.html b/classparselib_1_1transforms_1_1node_1_1Statement-members.html index 89339e93..4aaca74d 100644 --- a/classparselib_1_1transforms_1_1node_1_1Statement-members.html +++ b/classparselib_1_1transforms_1_1node_1_1Statement-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node.Statement Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node_1_1Statement.html b/classparselib_1_1transforms_1_1node_1_1Statement.html index ec98af96..18bd6131 100644 --- a/classparselib_1_1transforms_1_1node_1_1Statement.html +++ b/classparselib_1_1transforms_1_1node_1_1Statement.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node.Statement Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -137,7 +150,8 @@

+

diff --git a/classparselib_1_1transforms_1_1node_1_1TypeDefType-members.html b/classparselib_1_1transforms_1_1node_1_1TypeDefType-members.html index 38e3dd9b..d57a68b3 100644 --- a/classparselib_1_1transforms_1_1node_1_1TypeDefType-members.html +++ b/classparselib_1_1transforms_1_1node_1_1TypeDefType-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node.TypeDefType Member List
@@ -93,7 +106,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node_1_1TypeDefType.html b/classparselib_1_1transforms_1_1node_1_1TypeDefType.html index b2357371..a853b449 100644 --- a/classparselib_1_1transforms_1_1node_1_1TypeDefType.html +++ b/classparselib_1_1transforms_1_1node_1_1TypeDefType.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node.TypeDefType Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -96,13 +109,13 @@ - + - + - + - +

Public Attributes

 name
 name = name
 
 type_param_names
 type_param_names = type_param_names
 
 aliases
 aliases = aliases
 
 fields
 fields = fields
 
- + - + - + - + @@ -165,17 +178,17 @@

parselib.transforms.node.TypeDefType._instantiate

- + - + - + @@ -206,12 +219,12 @@

parselib.transforms.node.TypeDefType.get_alias_type_with_instantiation

- + - + @@ -234,12 +247,12 @@

parselib.transforms.node.TypeDefType.get_fields_with_instantiation

- + - + @@ -267,7 +280,7 @@

@@ -123,22 +136,22 @@

parselib.transforms.node.TypeDefType.__init__

( self, self,
name, name,
type_param_names, type_param_names,
aliases, aliases,
( self, self,
field, field,
params, params,
( self, self,
params, params,
( self, self,
params, params,
- +
parselib.transforms.node.TypeDefType.aliasesparselib.transforms.node.TypeDefType.aliases = aliases
@@ -330,7 +343,8 @@

+

diff --git a/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass-members.html b/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass-members.html index cb039b9b..6e4d6f36 100644 --- a/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass-members.html +++ b/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node_merge.NodeMergePass Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html b/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html index df3f01c6..5ad91af4 100644 --- a/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html +++ b/classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node_merge.NodeMergePass Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement-members.html b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement-members.html new file mode 100644 index 00000000..49e8c6e1 --- /dev/null +++ b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement-members.html @@ -0,0 +1,111 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+ + + diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html new file mode 100644 index 00000000..28cb50dc --- /dev/null +++ b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html @@ -0,0 +1,290 @@ + + + + + + + +systemc-clang: parselib.transforms.node_movement.ArrayPortMovement Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.node_movement.ArrayPortMovement Class Reference
+
+
+
+Inheritance diagram for parselib.transforms.node_movement.ArrayPortMovement:
+
+
Inheritance graph
+ + + + + + + +
[legend]
+
+Collaboration diagram for parselib.transforms.node_movement.ArrayPortMovement:
+
+
Collaboration graph
+ + + + + + + +
[legend]
+ + + + + + + + + + + + + + + +

+Public Member Functions

 __init__ (self)
 
 portdecltype_to_sigdecltype (self, tree)
 
 modportsiglist (self, tree)
 
 portdecltype (self, tree)
 
 htype (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
+ + + +

+Public Attributes

 ctx = ContextManager()
 
+

Detailed Description

+
+

Definition at line 35 of file node_movement.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + +
parselib.transforms.node_movement.ArrayPortMovement.__init__ ( self)
+
+ +

Reimplemented from parselib.transforms.top_down.TopDown.

+ +

Definition at line 36 of file node_movement.py.

+ +
+
+

Member Function Documentation

+ +

◆ htype()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.node_movement.ArrayPortMovement.htype ( self,
tree )
+
+ +

Definition at line 77 of file node_movement.py.

+ +
+
+ +

◆ modportsiglist()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.node_movement.ArrayPortMovement.modportsiglist ( self,
tree )
+
+ +

Definition at line 47 of file node_movement.py.

+ +
+
+ +

◆ portdecltype()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.node_movement.ArrayPortMovement.portdecltype ( self,
tree )
+
+ +

Definition at line 72 of file node_movement.py.

+ +
+
+ +

◆ portdecltype_to_sigdecltype()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.node_movement.ArrayPortMovement.portdecltype_to_sigdecltype ( self,
tree )
+
+ +

Definition at line 40 of file node_movement.py.

+ +
+
+

Member Data Documentation

+ +

◆ ctx

+ +
+
+ + + + +
parselib.transforms.node_movement.ArrayPortMovement.ctx = ContextManager()
+
+ +

Definition at line 37 of file node_movement.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.map b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.map new file mode 100644 index 00000000..ceaa53cb --- /dev/null +++ b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.md5 b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.md5 new file mode 100644 index 00000000..8878e5f4 --- /dev/null +++ b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.md5 @@ -0,0 +1 @@ +7e590fe16ce585c5829fffe8ea66a562 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.png b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.png new file mode 100644 index 00000000..713e3b64 Binary files /dev/null and b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.map b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.map new file mode 100644 index 00000000..ceaa53cb --- /dev/null +++ b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.md5 b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.md5 new file mode 100644 index 00000000..8878e5f4 --- /dev/null +++ b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.md5 @@ -0,0 +1 @@ +7e590fe16ce585c5829fffe8ea66a562 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.png b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.png new file mode 100644 index 00000000..713e3b64 Binary files /dev/null and b/classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement-members.html b/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement-members.html index b95fa630..0f56e6d8 100644 --- a/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement-members.html +++ b/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.node_movement.NodeMovement Member List
@@ -91,7 +104,8 @@
+ diff --git a/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html b/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html index c9feb46f..721ca5d0 100644 --- a/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html +++ b/classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node_movement.NodeMovement Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -123,9 +136,9 @@ - + - +

Public Attributes

 functions
 functions = dict()
 
 current_module
 current_module = None
 

@@ -135,7 +148,7 @@

Detailed Description

-

Definition at line 6 of file node_movement.py.

+

Definition at line 7 of file node_movement.py.

Constructor & Destructor Documentation

◆ __init__()

@@ -154,7 +167,7 @@

parselib.transforms.top_down.TopDown.

-

Definition at line 7 of file node_movement.py.

+

Definition at line 8 of file node_movement.py.

@@ -171,7 +184,7 @@

parselib.transforms.node_movement.NodeMovement.__add_functions ( - self, + self, @@ -186,7 +199,7 @@

-

Definition at line 23 of file node_movement.py.

+

Definition at line 24 of file node_movement.py.

@@ -199,7 +212,7 @@

parselib.transforms.node_movement.NodeMovement.hmodule ( - self, + self, @@ -209,7 +222,7 @@

-

Definition at line 13 of file node_movement.py.

+

Definition at line 14 of file node_movement.py.

@@ -222,7 +235,7 @@

parselib.transforms.node_movement.NodeMovement.hprocess ( - self, + self, @@ -232,7 +245,7 @@

-

Definition at line 27 of file node_movement.py.

+

Definition at line 28 of file node_movement.py.

@@ -244,12 +257,12 @@

- +
parselib.transforms.node_movement.NodeMovement.current_moduleparselib.transforms.node_movement.NodeMovement.current_module = None
-

Definition at line 11 of file node_movement.py.

+

Definition at line 12 of file node_movement.py.

@@ -260,12 +273,12 @@

- +
parselib.transforms.node_movement.NodeMovement.functionsparselib.transforms.node_movement.NodeMovement.functions = dict()
-

Definition at line 10 of file node_movement.py.

+

Definition at line 11 of file node_movement.py.

@@ -275,7 +288,8 @@

+ diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule-members.html b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule-members.html new file mode 100644 index 00000000..d856647f --- /dev/null +++ b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule-members.html @@ -0,0 +1,108 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
parselib.transforms.passes.PrettyPrintModule Member List
+
+ + + +
+ + diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html new file mode 100644 index 00000000..78e6e2f9 --- /dev/null +++ b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html @@ -0,0 +1,219 @@ + + + + + + + +systemc-clang: parselib.transforms.passes.PrettyPrintModule Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.passes.PrettyPrintModule Class Reference
+
+
+
+Inheritance diagram for parselib.transforms.passes.PrettyPrintModule:
+
+
Inheritance graph
+ + + + + + + +
[legend]
+
+Collaboration diagram for parselib.transforms.passes.PrettyPrintModule:
+
+
Collaboration graph
+ + + + + + + +
[legend]
+ + + + + + + + + +

+Public Member Functions

 __init__ (self, name)
 
 hmodule (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
+ + + +

+Public Attributes

 name = name
 
+

Detailed Description

+
+

Definition at line 27 of file passes.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.passes.PrettyPrintModule.__init__ ( self,
name )
+
+ +

Reimplemented from parselib.transforms.top_down.TopDown.

+ +

Definition at line 28 of file passes.py.

+ +
+
+

Member Function Documentation

+ +

◆ hmodule()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.passes.PrettyPrintModule.hmodule ( self,
tree )
+
+ +

Definition at line 31 of file passes.py.

+ +
+
+

Member Data Documentation

+ +

◆ name

+ +
+
+ + + + +
parselib.transforms.passes.PrettyPrintModule.name = name
+
+ +

Definition at line 29 of file passes.py.

+ +
+
+
The documentation for this class was generated from the following file:
    +
  • plugins/hdl/parselib/transforms/passes.py
  • +
+
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.map b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.map new file mode 100644 index 00000000..80d2cdc6 --- /dev/null +++ b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.md5 b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.md5 new file mode 100644 index 00000000..e9c2948b --- /dev/null +++ b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.md5 @@ -0,0 +1 @@ +801a0b9aac43fd1320dbcfedaa6d4cc4 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.png b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.png new file mode 100644 index 00000000..6ca64207 Binary files /dev/null and b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.map b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.map new file mode 100644 index 00000000..80d2cdc6 --- /dev/null +++ b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.md5 b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.md5 new file mode 100644 index 00000000..e9c2948b --- /dev/null +++ b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.md5 @@ -0,0 +1 @@ +801a0b9aac43fd1320dbcfedaa6d4cc4 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.png b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.png new file mode 100644 index 00000000..6ca64207 Binary files /dev/null and b/classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator-members.html b/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator-members.html index a8c6c5ef..ca89ec91 100644 --- a/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator-members.html +++ b/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.passes.VerilogTranslator Member List
@@ -86,7 +99,8 @@
+ diff --git a/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html b/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html index 90812450..f759157b 100644 --- a/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html +++ b/classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.passes.VerilogTranslator Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Static Public Member Functions | @@ -90,7 +103,7 @@

Detailed Description

Translate hcode to verilog
-

Definition at line 22 of file passes.py.

+

Definition at line 39 of file passes.py.

Member Function Documentation

◆ translate()

@@ -115,7 +128,7 @@

-

Definition at line 25 of file passes.py.

+

Definition at line 42 of file passes.py.

@@ -125,7 +138,8 @@

+

diff --git a/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion-members.html b/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion-members.html index 70945931..0b06c0e4 100644 --- a/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion-members.html +++ b/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.port_expansion.PortExpansion Member List
@@ -82,27 +95,37 @@

This is the complete list of members for parselib.transforms.port_expansion.PortExpansion, including all inherited members.

- - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + - + + + +
__expand_port(self, tree)parselib.transforms.port_expansion.PortExpansionprivate
__expand_sc_rvd(self, tree, inout=None)parselib.transforms.port_expansion.PortExpansionprivate
__expand_sc_rvd_binding_pair(self, tree)parselib.transforms.port_expansion.PortExpansionprivate
__expand_vardecl(self, tree)parselib.transforms.port_expansion.PortExpansionprivate
__get_expanded(self, var_name)parselib.transforms.port_expansion.PortExpansionprivate
__init__(self)parselib.transforms.port_expansion.PortExpansion
__set_expanded(self, var_name, bundle_type_name)parselib.transforms.port_expansion.PortExpansionprivate
create_channel(self, t, meta)parselib.transforms.port_expansion.PortExpansion
create_channel_decl(self, name, meta)parselib.transforms.port_expansion.PortExpansion
create_inport(self, t, meta)parselib.transforms.port_expansion.PortExpansion
create_inport_decl(self, name, meta)parselib.transforms.port_expansion.PortExpansion
create_outport(self, t, meta)parselib.transforms.port_expansion.PortExpansion
create_outport_decl(self, name, meta)parselib.transforms.port_expansion.PortExpansion
expandedparselib.transforms.port_expansion.PortExpansion
hmodule(self, tree)parselib.transforms.port_expansion.PortExpansion
__check_stmt_portbinding(self, stmt)parselib.transforms.port_expansion.PortExpansionprivate
__expand_port(self, tree)parselib.transforms.port_expansion.PortExpansionprivate
__expand_sc_rvd(self, tree, inout=None)parselib.transforms.port_expansion.PortExpansionprivate
__expand_sc_rvd_binding_pair(self, tree)parselib.transforms.port_expansion.PortExpansionprivate
__expand_vardecl(self, tree)parselib.transforms.port_expansion.PortExpansionprivate
__get_expanded(self, var_name)parselib.transforms.port_expansion.PortExpansionprivate
__init__(self)parselib.transforms.port_expansion.PortExpansion
__set_expanded(self, var_name, bundle_type_name)parselib.transforms.port_expansion.PortExpansionprivate
create_channel(self, t, meta)parselib.transforms.port_expansion.PortExpansion
create_channel_decl(self, name, meta)parselib.transforms.port_expansion.PortExpansion
create_inport(self, t, meta)parselib.transforms.port_expansion.PortExpansion
create_inport_decl(self, name, meta)parselib.transforms.port_expansion.PortExpansion
create_outport(self, t, meta)parselib.transforms.port_expansion.PortExpansion
create_outport_decl(self, name, meta)parselib.transforms.port_expansion.PortExpansion
expandedparselib.transforms.port_expansion.PortExpansion
forbody(self, tree)parselib.transforms.port_expansion.PortExpansion
hmodinitblock(self, tree)parselib.transforms.port_expansion.PortExpansion
hmodule(self, tree)parselib.transforms.port_expansion.PortExpansion
is_in_initblockparselib.transforms.port_expansion.PortExpansion
is_in_initblockparselib.transforms.port_expansion.PortExpansion
is_in_initblockparselib.transforms.port_expansion.PortExpansion
modportsiglist(self, tree)parselib.transforms.port_expansion.PortExpansion
portbindinglist(self, tree)parselib.transforms.port_expansion.PortExpansion
portbinding(self, tree)parselib.transforms.port_expansion.PortExpansion
portbindinglist(self, tree)parselib.transforms.port_expansion.PortExpansion
stmt(self, tree)parselib.transforms.port_expansion.PortExpansion
stmts(self, tree)parselib.transforms.port_expansion.PortExpansion
+ diff --git a/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html b/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html index f904b41d..40fa57dd 100644 --- a/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html +++ b/classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.port_expansion.PortExpansion Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -127,6 +140,16 @@    modportsiglist (self, tree)   + hmodinitblock (self, tree) +  + stmts (self, tree) +  + forbody (self, tree) +  + stmt (self, tree) +  + portbinding (self, tree) +   portbindinglist (self, tree)    hmodule (self, tree) @@ -137,8 +160,14 @@ - + + + + + + +

Public Attributes

 expanded
 expanded = list()
 
bool is_in_initblock = True
 
list is_in_initblock = []
 
int is_in_initblock = 1
 
@@ -154,6 +183,8 @@ + +

Private Member Functions

 
 __get_expanded (self, var_name)
 
 __check_stmt_portbinding (self, stmt)
 

Detailed Description

@@ -183,6 +214,37 @@

Member Function Documentation

+ +

◆ __check_stmt_portbinding()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.port_expansion.PortExpansion.__check_stmt_portbinding ( self,
stmt )
+
+private
+
+ +

Definition at line 197 of file port_expansion.py.

+ +
+

◆ __expand_port()

@@ -195,7 +257,7 @@

parselib.transforms.port_expansion.PortExpansion.__expand_port ( - self, + self, @@ -210,7 +272,7 @@

-

Definition at line 104 of file port_expansion.py.

+

Definition at line 107 of file port_expansion.py.

@@ -226,17 +288,17 @@

parselib.transforms.port_expansion.PortExpansion.__expand_sc_rvd ( - self, + self, - tree, + tree, - inout = None ) + inout = None ) @@ -246,7 +308,7 @@

-

Definition at line 37 of file port_expansion.py.

+

Definition at line 38 of file port_expansion.py.

@@ -262,7 +324,7 @@

parselib.transforms.port_expansion.PortExpansion.__expand_sc_rvd_binding_pair ( - self, + self, @@ -277,7 +339,7 @@

-

Definition at line 85 of file port_expansion.py.

+

Definition at line 86 of file port_expansion.py.

@@ -293,7 +355,7 @@

parselib.transforms.port_expansion.PortExpansion.__expand_vardecl ( - self, + self, @@ -308,7 +370,7 @@

-

Definition at line 122 of file port_expansion.py.

+

Definition at line 125 of file port_expansion.py.

@@ -324,7 +386,7 @@

parselib.transforms.port_expansion.PortExpansion.__get_expanded ( - self, + self, @@ -339,7 +401,7 @@

-

Definition at line 140 of file port_expansion.py.

+

Definition at line 143 of file port_expansion.py.

@@ -355,12 +417,12 @@

parselib.transforms.port_expansion.PortExpansion.__set_expanded ( - self, + self, - var_name, + var_name, @@ -375,7 +437,7 @@

-

Definition at line 135 of file port_expansion.py.

+

Definition at line 138 of file port_expansion.py.

@@ -388,12 +450,12 @@

parselib.transforms.port_expansion.PortExpansion.create_channel ( - self, + self, - t, + t, @@ -403,7 +465,7 @@

-

Definition at line 25 of file port_expansion.py.

+

Definition at line 26 of file port_expansion.py.

@@ -416,12 +478,12 @@

parselib.transforms.port_expansion.PortExpansion.create_channel_decl ( - self, + self, - name, + name, @@ -431,7 +493,7 @@

-

Definition at line 34 of file port_expansion.py.

+

Definition at line 35 of file port_expansion.py.

@@ -444,12 +506,12 @@

parselib.transforms.port_expansion.PortExpansion.create_inport ( - self, + self, - t, + t, @@ -459,7 +521,7 @@

-

Definition at line 19 of file port_expansion.py.

+

Definition at line 20 of file port_expansion.py.

@@ -472,12 +534,12 @@

parselib.transforms.port_expansion.PortExpansion.create_inport_decl ( - self, + self, - name, + name, @@ -487,7 +549,7 @@

-

Definition at line 28 of file port_expansion.py.

+

Definition at line 29 of file port_expansion.py.

@@ -500,12 +562,12 @@

parselib.transforms.port_expansion.PortExpansion.create_outport ( - self, + self, - t, + t, @@ -515,7 +577,7 @@

-

Definition at line 22 of file port_expansion.py.

+

Definition at line 23 of file port_expansion.py.

@@ -528,12 +590,12 @@

parselib.transforms.port_expansion.PortExpansion.create_outport_decl ( - self, + self, - name, + name, @@ -543,7 +605,53 @@

-

Definition at line 31 of file port_expansion.py.

+

Definition at line 32 of file port_expansion.py.

+ + + + +

◆ forbody()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.port_expansion.PortExpansion.forbody ( self,
tree )
+
+ +

Definition at line 183 of file port_expansion.py.

+ +
+
+ +

◆ hmodinitblock()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.port_expansion.PortExpansion.hmodinitblock ( self,
tree )
+
+ +

Definition at line 164 of file port_expansion.py.

@@ -556,7 +664,7 @@

parselib.transforms.port_expansion.PortExpansion.hmodule ( - self, + self, @@ -566,7 +674,7 @@

-

Definition at line 171 of file port_expansion.py.

+

Definition at line 237 of file port_expansion.py.

@@ -579,7 +687,7 @@

parselib.transforms.port_expansion.PortExpansion.modportsiglist ( - self, + self, @@ -589,7 +697,30 @@

-

Definition at line 146 of file port_expansion.py.

+

Definition at line 149 of file port_expansion.py.

+ + + + +

◆ portbinding()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.port_expansion.PortExpansion.portbinding ( self,
tree )
+
+ +

Definition at line 224 of file port_expansion.py.

@@ -602,7 +733,30 @@

parselib.transforms.port_expansion.PortExpansion.portbindinglist ( - self, + self, + + + + + tree ) + + + + + +

◆ stmt()

+ +
+
+ + + + + @@ -612,7 +766,30 @@

-

Definition at line 162 of file port_expansion.py.

+

Definition at line 204 of file port_expansion.py.

+ + + + +

◆ stmts()

+ +
+
+

parselib.transforms.port_expansion.PortExpansion.stmt ( self,
+ + + + + + + + + + +
parselib.transforms.port_expansion.PortExpansion.stmts ( self,
tree )
+
+ +

Definition at line 170 of file port_expansion.py.

@@ -624,13 +801,61 @@

- +
parselib.transforms.port_expansion.PortExpansion.expandedparselib.transforms.port_expansion.PortExpansion.expanded = list()
+ + +

◆ is_in_initblock [1/3]

+ +
+
+ + + + +
list parselib.transforms.port_expansion.PortExpansion.is_in_initblock = True
+
+ +

Definition at line 17 of file port_expansion.py.

+ +
+
+ +

◆ is_in_initblock [2/3]

+ +
+
+ + + + +
list parselib.transforms.port_expansion.PortExpansion.is_in_initblock = []
+
+ +

Definition at line 172 of file port_expansion.py.

+ +
+
+ +

◆ is_in_initblock [3/3]

+ +
+
+ + + + +
int parselib.transforms.port_expansion.PortExpansion.is_in_initblock = 1
+
+ +

Definition at line 205 of file port_expansion.py.

+

The documentation for this class was generated from the following file:
    @@ -639,7 +864,8 @@

    + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort-members.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort-members.html new file mode 100644 index 00000000..b8359344 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort-members.html @@ -0,0 +1,115 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html new file mode 100644 index 00000000..f54bd7c1 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html @@ -0,0 +1,388 @@ + + + + + + + +systemc-clang: parselib.transforms.portbinding_recollect.LowerComplexPort Class Reference + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    +
    +
    + +
    parselib.transforms.portbinding_recollect.LowerComplexPort Class Reference
    +
    +
    +
    +Inheritance diagram for parselib.transforms.portbinding_recollect.LowerComplexPort:
    +
    +
    Inheritance graph
    + + + + + + + +
    [legend]
    +
    +Collaboration diagram for parselib.transforms.portbinding_recollect.LowerComplexPort:
    +
    +
    Collaboration graph
    + + + + + + + +
    [legend]
    + + + + + + + + + + + + + + + + + + + + + +

    +Public Member Functions

     __init__ (self, port_meta)
     
     genbindinglist (self, tree)
     
     hvarref (self, tree)
     
     harrayref (self, tree)
     
     numlit (self, tree)
     
     hfieldname (self, tree)
     
     hfieldaccess (self, tree)
     
     hmodule (self, tree)
     
    - Public Member Functions inherited from parselib.transforms.top_down.TopDown
     __default__ (self, t)
     
    + + + + + +

    +Public Attributes

     ctx = ContextManager()
     
     port_meta = port_meta
     
    +

    Detailed Description

    +
    This pass simply lowers the the field access to a simple portbinding
    +
    +

    Definition at line 215 of file portbinding_recollect.py.

    +

    Constructor & Destructor Documentation

    + +

    ◆ __init__()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.__init__ ( self,
    port_meta )
    +
    + +

    Reimplemented from parselib.transforms.top_down.TopDown.

    + +

    Definition at line 219 of file portbinding_recollect.py.

    + +
    +
    +

    Member Function Documentation

    + +

    ◆ genbindinglist()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.genbindinglist ( self,
    tree )
    +
    + +

    Definition at line 224 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ harrayref()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.harrayref ( self,
    tree )
    +
    + +

    Definition at line 251 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hfieldaccess()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.hfieldaccess ( self,
    tree )
    +
    + +

    Definition at line 271 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hfieldname()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.hfieldname ( self,
    tree )
    +
    + +

    Definition at line 267 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hmodule()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.hmodule ( self,
    tree )
    +
    + +

    Definition at line 278 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hvarref()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.hvarref ( self,
    tree )
    +
    + +

    Definition at line 229 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ numlit()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.numlit ( self,
    tree )
    +
    + +

    Definition at line 262 of file portbinding_recollect.py.

    + +
    +
    +

    Member Data Documentation

    + +

    ◆ ctx

    + +
    +
    + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.ctx = ContextManager()
    +
    + +

    Definition at line 220 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ port_meta

    + +
    +
    + + + + +
    parselib.transforms.portbinding_recollect.LowerComplexPort.port_meta = port_meta
    +
    + +

    Definition at line 221 of file portbinding_recollect.py.

    + +
    +
    +
    The documentation for this class was generated from the following file: +
    + + +
    + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.map new file mode 100644 index 00000000..1b144be5 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.md5 new file mode 100644 index 00000000..443a6aff --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.md5 @@ -0,0 +1 @@ +a193aa6e41c199ffcae95ee763d90613 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.png new file mode 100644 index 00000000..0c99a686 Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.map new file mode 100644 index 00000000..1b144be5 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.md5 new file mode 100644 index 00000000..443a6aff --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.md5 @@ -0,0 +1 @@ +a193aa6e41c199ffcae95ee763d90613 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.png new file mode 100644 index 00000000..0c99a686 Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector-members.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector-members.html new file mode 100644 index 00000000..6b8a8072 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector-members.html @@ -0,0 +1,114 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html new file mode 100644 index 00000000..548c71ac --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html @@ -0,0 +1,364 @@ + + + + + + + +systemc-clang: parselib.transforms.portbinding_recollect.PortDirectionCollector Class Reference + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    +
    +
    + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector Class Reference
    +
    +
    +
    +Inheritance diagram for parselib.transforms.portbinding_recollect.PortDirectionCollector:
    +
    +
    Inheritance graph
    + + + + + + + +
    [legend]
    +
    +Collaboration diagram for parselib.transforms.portbinding_recollect.PortDirectionCollector:
    +
    +
    Collaboration graph
    + + + + + + + +
    [legend]
    + + + + + + + + + + + + + + + +

    +Public Member Functions

     __init__ (self)
     
     hmodule (self, tree)
     
     inportdecl (self, tree)
     
     outportdecl (self, tree)
     
     sigdecltype (self, tree)
     
    - Public Member Functions inherited from parselib.transforms.top_down.TopDown
     __default__ (self, t)
     
    + + + + + +

    +Public Attributes

    dict ports = { }
     
     ctx = ContextManager()
     
    + + + + + +

    +Static Public Attributes

    int INPUT = 0
     
    int OUTPUT = 1
     
    +

    Detailed Description

    +
    +

    Definition at line 180 of file portbinding_recollect.py.

    +

    Constructor & Destructor Documentation

    + +

    ◆ __init__()

    + +
    +
    + + + + + + + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector.__init__ ( self)
    +
    + +

    Reimplemented from parselib.transforms.top_down.TopDown.

    + +

    Definition at line 184 of file portbinding_recollect.py.

    + +
    +
    +

    Member Function Documentation

    + +

    ◆ hmodule()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector.hmodule ( self,
    tree )
    +
    + +

    Definition at line 188 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ inportdecl()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector.inportdecl ( self,
    tree )
    +
    + +

    Definition at line 194 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ outportdecl()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector.outportdecl ( self,
    tree )
    +
    + +

    Definition at line 198 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ sigdecltype()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector.sigdecltype ( self,
    tree )
    +
    + +

    Definition at line 202 of file portbinding_recollect.py.

    + +
    +
    +

    Member Data Documentation

    + +

    ◆ ctx

    + +
    +
    + + + + +
    parselib.transforms.portbinding_recollect.PortDirectionCollector.ctx = ContextManager()
    +
    + +

    Definition at line 186 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ INPUT

    + +
    +
    + + + + + +
    + + + + +
    int parselib.transforms.portbinding_recollect.PortDirectionCollector.INPUT = 0
    +
    +static
    +
    + +

    Definition at line 181 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ OUTPUT

    + +
    +
    + + + + + +
    + + + + +
    int parselib.transforms.portbinding_recollect.PortDirectionCollector.OUTPUT = 1
    +
    +static
    +
    + +

    Definition at line 182 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ ports

    + +
    +
    + + + + +
    dict parselib.transforms.portbinding_recollect.PortDirectionCollector.ports = { }
    +
    + +

    Definition at line 185 of file portbinding_recollect.py.

    + +
    +
    +
    The documentation for this class was generated from the following file: +
    + + +
    + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.map new file mode 100644 index 00000000..246d8288 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.md5 new file mode 100644 index 00000000..ee82b1cd --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.md5 @@ -0,0 +1 @@ +d0dda2cf30d747258057bbe6af28e928 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.png new file mode 100644 index 00000000..cec1d3de Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.map new file mode 100644 index 00000000..246d8288 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.md5 new file mode 100644 index 00000000..ee82b1cd --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.md5 @@ -0,0 +1 @@ +d0dda2cf30d747258057bbe6af28e928 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.png new file mode 100644 index 00000000..cec1d3de Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck-members.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck-members.html new file mode 100644 index 00000000..b3a133b4 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck-members.html @@ -0,0 +1,106 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    +
    +
    +
    parselib.transforms.portbinding_recollect.PortbindingPrecheck Member List
    +
    +
    + +

    This is the complete list of members for parselib.transforms.portbinding_recollect.PortbindingPrecheck, including all inherited members.

    + + +
    portbindinglist(self, tree)parselib.transforms.portbinding_recollect.PortbindingPrecheck
    + + +
    + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html new file mode 100644 index 00000000..ec420af4 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html @@ -0,0 +1,170 @@ + + + + + + + +systemc-clang: parselib.transforms.portbinding_recollect.PortbindingPrecheck Class Reference + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    +
    +
    + +
    parselib.transforms.portbinding_recollect.PortbindingPrecheck Class Reference
    +
    +
    +
    +Inheritance diagram for parselib.transforms.portbinding_recollect.PortbindingPrecheck:
    +
    +
    Inheritance graph
    + + + + + + + +
    [legend]
    +
    +Collaboration diagram for parselib.transforms.portbinding_recollect.PortbindingPrecheck:
    +
    +
    Collaboration graph
    + + + + + + + +
    [legend]
    + + + + + + + + + +

    +Public Member Functions

     portbindinglist (self, tree)
     
    - Public Member Functions inherited from parselib.transforms.top_down.TopDown
     __default__ (self, t)
     
     __init__ (self)
     
    +

    Detailed Description

    +
    +

    Definition at line 10 of file portbinding_recollect.py.

    +

    Member Function Documentation

    + +

    ◆ portbindinglist()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingPrecheck.portbindinglist ( self,
    tree )
    +
    + +

    Definition at line 11 of file portbinding_recollect.py.

    + +
    +
    +
    The documentation for this class was generated from the following file: +
    + + +
    + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.map new file mode 100644 index 00000000..5f27dea5 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.md5 new file mode 100644 index 00000000..fe54e434 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.md5 @@ -0,0 +1 @@ +f0a86b337367240ae443cbb639cb6530 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.png new file mode 100644 index 00000000..18be6381 Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.map new file mode 100644 index 00000000..5f27dea5 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.md5 new file mode 100644 index 00000000..fe54e434 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.md5 @@ -0,0 +1 @@ +f0a86b337367240ae443cbb639cb6530 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.png new file mode 100644 index 00000000..18be6381 Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect-members.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect-members.html new file mode 100644 index 00000000..1bf45435 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect-members.html @@ -0,0 +1,120 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    +
    +
    +
    parselib.transforms.portbinding_recollect.PortbindingRecollect Member List
    +
    + + + +
    + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html new file mode 100644 index 00000000..ba453dc9 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html @@ -0,0 +1,515 @@ + + + + + + + +systemc-clang: parselib.transforms.portbinding_recollect.PortbindingRecollect Class Reference + + + + + + + + + + + + + +
    +
    + + + + + + +
    +
    systemc-clang 2.0.0 +
    +
    Parsing SystemC constructs
    +
    +
    + + + + + + + + + + +
    +
    + + +
    +
    +
    +
    +
    +
    Loading...
    +
    Searching...
    +
    No Matches
    +
    +
    +
    +
    + + +
    +
    +
    + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect Class Reference
    +
    +
    +
    +Inheritance diagram for parselib.transforms.portbinding_recollect.PortbindingRecollect:
    +
    +
    Inheritance graph
    + + + + + + + +
    [legend]
    +
    +Collaboration diagram for parselib.transforms.portbinding_recollect.PortbindingRecollect:
    +
    +
    Collaboration graph
    + + + + + + + +
    [legend]
    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

    +Public Member Functions

     __init__ (self, ports)
     
     hmodule (self, tree)
     
     forinit (self, tree)
     
     hvarref (self, tree)
     
     forstmt (self, tree)
     
     stmt (self, tree)
     
     stmts (self, tree)
     
     hmodinitblock (self, tree)
     
     moduleinst (self, tree)
     
     modulearrayinst (self, tree)
     
     get_module_name_in_portbinding (self, tree)
     
     get_port_name_in_portbinding (self, tree)
     
     portbinding (self, tree)
     
    - Public Member Functions inherited from parselib.transforms.top_down.TopDown
     __default__ (self, t)
     
    + + + + + +

    +Public Attributes

     ctx = ContextManager()
     
     ports = ports
     
    +

    Detailed Description

    +
    This pass collects portbinding nodes and transform them into a portbindinglist node.
    +We have this pass because portbindings are scattered in hModinitblock
    +These blocks cannot be directly translated to verilog uniformly
    +
    +

    Definition at line 15 of file portbinding_recollect.py.

    +

    Constructor & Destructor Documentation

    + +

    ◆ __init__()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.__init__ ( self,
    ports )
    +
    + +

    Reimplemented from parselib.transforms.top_down.TopDown.

    + +

    Definition at line 21 of file portbinding_recollect.py.

    + +
    +
    +

    Member Function Documentation

    + +

    ◆ forinit()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.forinit ( self,
    tree )
    +
    + +

    Definition at line 33 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ forstmt()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.forstmt ( self,
    tree )
    +
    + +

    Definition at line 43 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ get_module_name_in_portbinding()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.get_module_name_in_portbinding ( self,
    tree )
    +
    + +

    Definition at line 127 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ get_port_name_in_portbinding()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.get_port_name_in_portbinding ( self,
    tree )
    +
    + +

    Definition at line 135 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hmodinitblock()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.hmodinitblock ( self,
    tree )
    +
    + +

    Definition at line 87 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hmodule()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.hmodule ( self,
    tree )
    +
    + +

    Definition at line 28 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ hvarref()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.hvarref ( self,
    tree )
    +
    + +

    Definition at line 38 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ modulearrayinst()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.modulearrayinst ( self,
    tree )
    +
    + +

    Definition at line 118 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ moduleinst()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.moduleinst ( self,
    tree )
    +
    + +

    Definition at line 111 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ portbinding()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.portbinding ( self,
    tree )
    +
    + +

    Definition at line 150 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ stmt()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.stmt ( self,
    tree )
    +
    + +

    Definition at line 66 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ stmts()

    + +
    +
    + + + + + + + + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.stmts ( self,
    tree )
    +
    + +

    Definition at line 81 of file portbinding_recollect.py.

    + +
    +
    +

    Member Data Documentation

    + +

    ◆ ctx

    + +
    +
    + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.ctx = ContextManager()
    +
    + +

    Definition at line 24 of file portbinding_recollect.py.

    + +
    +
    + +

    ◆ ports

    + +
    +
    + + + + +
    parselib.transforms.portbinding_recollect.PortbindingRecollect.ports = ports
    +
    + +

    Definition at line 25 of file portbinding_recollect.py.

    + +
    +
    +
    The documentation for this class was generated from the following file: +
    + + +
    + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.map new file mode 100644 index 00000000..3bb53863 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.md5 new file mode 100644 index 00000000..db96536e --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.md5 @@ -0,0 +1 @@ +0ef42a3664202f90d2f4ada3288e8280 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.png new file mode 100644 index 00000000..746f1fff Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.map b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.map new file mode 100644 index 00000000..3bb53863 --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.md5 b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.md5 new file mode 100644 index 00000000..db96536e --- /dev/null +++ b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.md5 @@ -0,0 +1 @@ +0ef42a3664202f90d2f4ada3288e8280 \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.png b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.png new file mode 100644 index 00000000..746f1fff Binary files /dev/null and b/classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock-members.html b/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock-members.html index f75bd373..87a4c888 100644 --- a/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock-members.html +++ b/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + +

+
parselib.transforms.reorder_mod_init_block.ReorderModInitBlock Member List
@@ -87,7 +100,8 @@
+ diff --git a/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html b/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html index 40838773..1a3e90e3 100644 --- a/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html +++ b/classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.reorder_mod_init_block.ReorderModInitBlock Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement-members.html b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement-members.html new file mode 100644 index 00000000..0639134b --- /dev/null +++ b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement-members.html @@ -0,0 +1,124 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
parselib.transforms.sensevar_movement.SensevarMovement Member List
+
+
+ +

This is the complete list of members for parselib.transforms.sensevar_movement.SensevarMovement, including all inherited members.

+ + + + + + + + + + + + + + + + + + + + +
__create_sensevar_generate_block(self, tree)parselib.transforms.sensevar_movement.SensevarMovementprivate
__decl_referred_in_sensvar(self, sensvar, vardecl)parselib.transforms.sensevar_movement.SensevarMovementprivate
__init__(self)parselib.transforms.sensevar_movement.SensevarMovement
current_for_stmtparselib.transforms.sensevar_movement.SensevarMovement
current_for_stmtparselib.transforms.sensevar_movement.SensevarMovement
current_moduleparselib.transforms.sensevar_movement.SensevarMovement
forstmt(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
hmodinitblock(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
hmodule(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
hnamedsensvar(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
hprocess(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
initblock_vardeclsparselib.transforms.sensevar_movement.SensevarMovement
is_for_stmtparselib.transforms.sensevar_movement.SensevarMovement
is_in_initblockparselib.transforms.sensevar_movement.SensevarMovement
only_sensevarparselib.transforms.sensevar_movement.SensevarMovement
processesparselib.transforms.sensevar_movement.SensevarMovement
sensevar_dictparselib.transforms.sensevar_movement.SensevarMovement
stmt(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
vardecl(self, tree)parselib.transforms.sensevar_movement.SensevarMovement
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html new file mode 100644 index 00000000..dde75d23 --- /dev/null +++ b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html @@ -0,0 +1,585 @@ + + + + + + + +systemc-clang: parselib.transforms.sensevar_movement.SensevarMovement Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.sensevar_movement.SensevarMovement Class Reference
+
+
+
+Inheritance diagram for parselib.transforms.sensevar_movement.SensevarMovement:
+
+
Inheritance graph
+ + + + + + + +
[legend]
+
+Collaboration diagram for parselib.transforms.sensevar_movement.SensevarMovement:
+
+
Collaboration graph
+ + + + + + + +
[legend]
+ + + + + + + + + + + + + + + + + + + + + +

+Public Member Functions

 __init__ (self)
 
 hmodule (self, tree)
 
 hprocess (self, tree)
 
 hmodinitblock (self, tree)
 
 vardecl (self, tree)
 
 forstmt (self, tree)
 
 stmt (self, tree)
 
 hnamedsensvar (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
+ + + + + + + + + + + + + + + + + + + +

+Public Attributes

bool is_in_initblock = False
 
bool is_for_stmt = False
 
bool only_sensevar = False
 
 current_for_stmt = None
 
 current_module = None
 
list initblock_vardecls = []
 
dict sensevar_dict = {}: return
 
 processes = dict()
 
bool current_for_stmt = self.is_in_initblock and self.is_for_stmt
 
+ + + + + +

+Private Member Functions

 __decl_referred_in_sensvar (self, sensvar, vardecl)
 
 __create_sensevar_generate_block (self, tree)
 
+

Detailed Description

+
This pass moves sensevar declaration within a for loop to the top of the module, 
+and generate a generate block for each sensevar
+

Definition at line 9 of file sensevar_movement.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.__init__ ( self)
+
+ +

Reimplemented from parselib.transforms.top_down.TopDown.

+ +

Definition at line 12 of file sensevar_movement.py.

+ +
+
+

Member Function Documentation

+ +

◆ __create_sensevar_generate_block()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.__create_sensevar_generate_block ( self,
tree )
+
+private
+
+ +

Definition at line 28 of file sensevar_movement.py.

+ +
+
+ +

◆ __decl_referred_in_sensvar()

+ +
+
+ + + + + +
+ + + + + + + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.__decl_referred_in_sensvar ( self,
sensvar,
vardecl )
+
+private
+
+ +

Definition at line 21 of file sensevar_movement.py.

+ +
+
+ +

◆ forstmt()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.forstmt ( self,
tree )
+
+ +

Definition at line 87 of file sensevar_movement.py.

+ +
+
+ +

◆ hmodinitblock()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.hmodinitblock ( self,
tree )
+
+ +

Definition at line 71 of file sensevar_movement.py.

+ +
+
+ +

◆ hmodule()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.hmodule ( self,
tree )
+
+ +

Definition at line 51 of file sensevar_movement.py.

+ +
+
+ +

◆ hnamedsensvar()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.hnamedsensvar ( self,
tree )
+
+ +

Definition at line 125 of file sensevar_movement.py.

+ +
+
+ +

◆ hprocess()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.hprocess ( self,
tree )
+
+ +

Definition at line 66 of file sensevar_movement.py.

+ +
+
+ +

◆ stmt()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.stmt ( self,
tree )
+
+ +

Definition at line 108 of file sensevar_movement.py.

+ +
+
+ +

◆ vardecl()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.sensevar_movement.SensevarMovement.vardecl ( self,
tree )
+
+ +

Definition at line 78 of file sensevar_movement.py.

+ +
+
+

Member Data Documentation

+ +

◆ current_for_stmt [1/2]

+ +
+
+ + + + +
parselib.transforms.sensevar_movement.SensevarMovement.current_for_stmt = None
+
+ +

Definition at line 17 of file sensevar_movement.py.

+ +
+
+ +

◆ current_for_stmt [2/2]

+ +
+
+ + + + +
bool parselib.transforms.sensevar_movement.SensevarMovement.current_for_stmt = self.is_in_initblock and self.is_for_stmt
+
+ +

Definition at line 90 of file sensevar_movement.py.

+ +
+
+ +

◆ current_module

+ +
+
+ + + + +
parselib.transforms.sensevar_movement.SensevarMovement.current_module = None
+
+ +

Definition at line 18 of file sensevar_movement.py.

+ +
+
+ +

◆ initblock_vardecls

+ +
+
+ + + + +
list parselib.transforms.sensevar_movement.SensevarMovement.initblock_vardecls = []
+
+ +

Definition at line 19 of file sensevar_movement.py.

+ +
+
+ +

◆ is_for_stmt

+ +
+
+ + + + +
bool parselib.transforms.sensevar_movement.SensevarMovement.is_for_stmt = False
+
+ +

Definition at line 15 of file sensevar_movement.py.

+ +
+
+ +

◆ is_in_initblock

+ +
+
+ + + + +
bool parselib.transforms.sensevar_movement.SensevarMovement.is_in_initblock = False
+
+ +

Definition at line 14 of file sensevar_movement.py.

+ +
+
+ +

◆ only_sensevar

+ +
+
+ + + + +
bool parselib.transforms.sensevar_movement.SensevarMovement.only_sensevar = False
+
+ +

Definition at line 16 of file sensevar_movement.py.

+ +
+
+ +

◆ processes

+ +
+
+ + + + +
parselib.transforms.sensevar_movement.SensevarMovement.processes = dict()
+
+ +

Definition at line 55 of file sensevar_movement.py.

+ +
+
+ +

◆ sensevar_dict

+ +
+
+ + + + +
dict parselib.transforms.sensevar_movement.SensevarMovement.sensevar_dict = {}: return
+
+ +

Definition at line 30 of file sensevar_movement.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.map b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.map new file mode 100644 index 00000000..cf34bf90 --- /dev/null +++ b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.md5 b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.md5 new file mode 100644 index 00000000..4a83f2f1 --- /dev/null +++ b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.md5 @@ -0,0 +1 @@ +8bd7ed604db4ff91a11046e71a51c48b \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.png b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.png new file mode 100644 index 00000000..e9ebc983 Binary files /dev/null and b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__coll__graph.png differ diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.map b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.map new file mode 100644 index 00000000..cf34bf90 --- /dev/null +++ b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.map @@ -0,0 +1,7 @@ + + + + + + + diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.md5 b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.md5 new file mode 100644 index 00000000..4a83f2f1 --- /dev/null +++ b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.md5 @@ -0,0 +1 @@ +8bd7ed604db4ff91a11046e71a51c48b \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.png b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.png new file mode 100644 index 00000000..e9ebc983 Binary files /dev/null and b/classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge-members.html b/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge-members.html index d3b2fec5..e66efc70 100644 --- a/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge-members.html +++ b/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.slice_merge.SliceMerge Member List
@@ -92,7 +105,8 @@
+ diff --git a/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html b/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html index 3afc9caa..34fef3ca 100644 --- a/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html +++ b/classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.slice_merge.SliceMerge Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl-members.html b/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl-members.html index 5ac84735..d8395d98 100644 --- a/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl-members.html +++ b/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.sort_var_decl.SortVarDecl Member List
@@ -88,7 +101,8 @@
+ diff --git a/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html b/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html index 6993f807..ff375709 100644 --- a/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html +++ b/classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.sort_var_decl.SortVarDecl Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector-members.html b/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector-members.html index eddf8012..1ac00ff1 100644 --- a/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector-members.html +++ b/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html b/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html index 74b8326a..ddd3c39a 100644 --- a/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html +++ b/classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.structure_collector.StructureCollector Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -124,10 +137,10 @@ - - - - + + + +

Public Attributes

 hier
 
 current
 
dict hier = {}
 
dict current = self.hier
 

Detailed Description

Collect structural information, such as:
@@ -168,7 +181,7 @@ 

parselib.transforms.structure_collector.StructureCollector.hmodule ( - self, + self, @@ -191,7 +204,7 @@

parselib.transforms.structure_collector.StructureCollector.moddecl ( - self, + self, @@ -214,7 +227,7 @@

parselib.transforms.structure_collector.StructureCollector.start ( - self, + self, @@ -229,14 +242,14 @@

Member Data Documentation

- -

◆ current

+ +

◆ current

- +
parselib.transforms.structure_collector.StructureCollector.currentdict parselib.transforms.structure_collector.StructureCollector.current = self.hier
@@ -245,14 +258,14 @@

-

◆ hier

+ +

◆ hier

- +
parselib.transforms.structure_collector.StructureCollector.hierdict parselib.transforms.structure_collector.StructureCollector.hier = {}
@@ -267,7 +280,8 @@

+

diff --git a/classparselib_1_1transforms_1_1top__down_1_1TopDown-members.html b/classparselib_1_1transforms_1_1top__down_1_1TopDown-members.html index 518da789..3e742f46 100644 --- a/classparselib_1_1transforms_1_1top__down_1_1TopDown-members.html +++ b/classparselib_1_1transforms_1_1top__down_1_1TopDown-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
parselib.transforms.top_down.TopDown Member List
@@ -88,7 +101,8 @@
+
diff --git a/classparselib_1_1transforms_1_1top__down_1_1TopDown.html b/classparselib_1_1transforms_1_1top__down_1_1TopDown.html index 79ff2c43..c8956aae 100644 --- a/classparselib_1_1transforms_1_1top__down_1_1TopDown.html +++ b/classparselib_1_1transforms_1_1top__down_1_1TopDown.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.top_down.TopDown Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Member Functions | @@ -88,45 +101,65 @@
Inheritance graph
- + - + - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
[legend]
@@ -171,7 +204,7 @@

-

Reimplemented in parselib.transforms.alias_translation.AliasTranslation, parselib.transforms.comma_transformation.CommaTransformation, parselib.transforms.function_info_pass.FunctionInfoPass, parselib.transforms.function_info_pass.FunctionInfoPass2, parselib.transforms.function_param_marker.FunctionParamMarker, parselib.transforms.function_transformation_pass.FunctionTransformationPass, parselib.transforms.node_movement.NodeMovement, parselib.transforms.port_expansion.PortExpansion, parselib.transforms.reorder_mod_init_block.ReorderModInitBlock, parselib.transforms.slice_merge.SliceMerge, parselib.transforms.structure_collector.StructureCollector, parselib.transforms.typedef_filter.TypeDefFilter, parselib.transforms.typedef_filter.TypeDefCleanup, parselib.transforms.verilog_tranlation.VerilogTranslationPass, parselib.transforms.literal_expansion.LiteralExpansion, and parselib.transforms.typedef_expansion.TypedefExpansion.

+

Reimplemented in parselib.transforms.alias_translation.AliasTranslation, parselib.transforms.comma_transformation.CommaTransformation, parselib.transforms.function_info_pass.FunctionInfoPass, parselib.transforms.function_info_pass.FunctionInfoPass2, parselib.transforms.function_param_marker.FunctionParamMarker, parselib.transforms.function_transformation_pass.FunctionTransformationPass, parselib.transforms.interface_generation.InterfaceGeneration, parselib.transforms.interface_generation.InterfaceReplacement, parselib.transforms.literal_expansion.LiteralExpansion, parselib.transforms.literal_expansion.LiteralExpansion2, parselib.transforms.node_movement.ArrayPortMovement, parselib.transforms.node_movement.NodeMovement, parselib.transforms.passes.PrettyPrintModule, parselib.transforms.port_expansion.PortExpansion, parselib.transforms.portbinding_recollect.LowerComplexPort, parselib.transforms.portbinding_recollect.PortbindingRecollect, parselib.transforms.portbinding_recollect.PortDirectionCollector, parselib.transforms.reorder_mod_init_block.ReorderModInitBlock, parselib.transforms.sensevar_movement.SensevarMovement, parselib.transforms.slice_merge.SliceMerge, parselib.transforms.structure_collector.StructureCollector, parselib.transforms.typedef_expansion.TypedefExpansion, parselib.transforms.typedef_filter.TypeDefCleanup, parselib.transforms.typedef_filter.TypeDefFilter, and parselib.transforms.verilog_tranlation.VerilogTranslationPass.

Definition at line 32 of file top_down.py.

@@ -187,7 +220,7 @@

parselib.transforms.top_down.TopDown.__default__ ( - self, + self, @@ -213,7 +246,7 @@

parselib.transforms.top_down.TopDown.__push_up ( - self, + self, @@ -238,7 +271,8 @@

+

diff --git a/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.map b/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.map index 6d2ae647..f742a524 100644 --- a/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.map +++ b/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.map @@ -1,41 +1,61 @@ - + - + - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.md5 b/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.md5 index e05aa4ca..3d19a536 100644 --- a/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.md5 +++ b/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.md5 @@ -1 +1 @@ -9ecba497bd1a648b0b1713a583fd47da \ No newline at end of file +cda13f9b0599fec541912dc592c5be5b \ No newline at end of file diff --git a/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.png b/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.png index eaafdbfa..a3a3c88e 100644 Binary files a/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.png and b/classparselib_1_1transforms_1_1top__down_1_1TopDown__inherit__graph.png differ diff --git a/classparselib_1_1transforms_1_1top__down_1_1VariableBindings-members.html b/classparselib_1_1transforms_1_1top__down_1_1VariableBindings-members.html index eadc35e6..22b40f64 100644 --- a/classparselib_1_1transforms_1_1top__down_1_1VariableBindings-members.html +++ b/classparselib_1_1transforms_1_1top__down_1_1VariableBindings-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.top_down.VariableBindings Member List
@@ -87,7 +100,8 @@
+
diff --git a/classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html b/classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html index 0c9ed675..c18fe295 100644 --- a/classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html +++ b/classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.top_down.VariableBindings Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Static Public Member Functions | @@ -156,7 +169,8 @@

+

diff --git a/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector-members.html b/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector-members.html index cb097622..bc36ed78 100644 --- a/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector-members.html +++ b/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html b/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html index 2766dacc..bf1ea6ae 100644 --- a/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html +++ b/classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.type_collector.TypeCollector Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -137,14 +150,16 @@ - + - + - - - + + + + +

Public Attributes

 custom_types
 custom_types = dict()
 
 module_types
 module_types = dict()
 
 current_bindings
 
 current_mod
list current_bindings = []
 
 current_mod = mod_name
 
list module_types = []
 

Detailed Description

@@ -244,7 +259,7 @@

parselib.transforms.type_collector.TypeCollector.hmodule ( - self, + self, @@ -267,7 +282,7 @@

parselib.transforms.type_collector.TypeCollector.htype ( - self, + self, @@ -290,7 +305,7 @@

parselib.transforms.type_collector.TypeCollector.htypedef ( - self, + self, @@ -313,7 +328,7 @@

parselib.transforms.type_collector.TypeCollector.htypefield ( - self, + self, @@ -336,7 +351,7 @@

parselib.transforms.type_collector.TypeCollector.htypefields ( - self, + self, @@ -359,7 +374,7 @@

parselib.transforms.type_collector.TypeCollector.htypeint ( - self, + self, @@ -382,7 +397,7 @@

parselib.transforms.type_collector.TypeCollector.htypetemplateparam ( - self, + self, @@ -405,7 +420,7 @@

parselib.transforms.type_collector.TypeCollector.htypetemplateparams ( - self, + self, @@ -428,7 +443,7 @@

parselib.transforms.type_collector.TypeCollector.hvarref ( - self, + self, @@ -451,7 +466,7 @@

parselib.transforms.type_collector.TypeCollector.is_custom_type ( - self, + self, @@ -474,7 +489,7 @@

parselib.transforms.type_collector.TypeCollector.is_module_type ( - self, + self, @@ -489,14 +504,14 @@

Member Data Documentation

- -

◆ current_bindings

+ +

◆ current_bindings

- +
parselib.transforms.type_collector.TypeCollector.current_bindingslist parselib.transforms.type_collector.TypeCollector.current_bindings = []
@@ -538,19 +553,35 @@

-

◆ module_types

+

◆ module_types [1/2]

- +
parselib.transforms.type_collector.TypeCollector.module_typesparselib.transforms.type_collector.TypeCollector.module_types = dict()

Definition at line 12 of file type_collector.py.

+
+
+ +

◆ module_types [2/2]

+ +
+
+ + + + +
list parselib.transforms.type_collector.TypeCollector.module_types = []
+
+ +

Definition at line 54 of file type_collector.py.

+

The documentation for this class was generated from the following file:
diff --git a/classparselib_1_1transforms_1_1type__node_1_1TypeNode-members.html b/classparselib_1_1transforms_1_1type__node_1_1TypeNode-members.html index 0bd045dc..1ec380e0 100644 --- a/classparselib_1_1transforms_1_1type__node_1_1TypeNode-members.html +++ b/classparselib_1_1transforms_1_1type__node_1_1TypeNode-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classparselib_1_1transforms_1_1type__node_1_1TypeNode.html b/classparselib_1_1transforms_1_1type__node_1_1TypeNode.html index 5ca8cb79..fe0f65a0 100644 --- a/classparselib_1_1transforms_1_1type__node_1_1TypeNode.html +++ b/classparselib_1_1transforms_1_1type__node_1_1TypeNode.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.type_node.TypeNode Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Member Functions | @@ -117,14 +130,16 @@ - + - + - + - + + +

Public Attributes

 name
 name = name
 
 params
 params = params
 
 aliases
 aliases = aliases
 
 fields
 fields = fields
 
list fields = [ param_dict[p.name] if p.name in param_dict else p.instantiate() for p in field_type.params ]
 

Detailed Description

@@ -272,19 +287,35 @@

-

◆ fields

+

◆ fields [1/2]

- +
parselib.transforms.type_node.TypeNode.fieldsparselib.transforms.type_node.TypeNode.fields = fields

Definition at line 20 of file type_node.py.

+
+
+ +

◆ fields [2/2]

+ +
+
+ + + + +
list parselib.transforms.type_node.TypeNode.fields = [ param_dict[p.name] if p.name in param_dict else p.instantiate() for p in field_type.params ]
+
+ +

Definition at line 58 of file type_node.py.

+
@@ -294,7 +325,7 @@

- +
parselib.transforms.type_node.TypeNode.nameparselib.transforms.type_node.TypeNode.name = name

@@ -325,7 +356,8 @@

+

diff --git a/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion-members.html b/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion-members.html index 2452dffc..102a6cb7 100644 --- a/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion-members.html +++ b/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.typedef_expansion.TypedefExpansion Member List
@@ -83,40 +96,51 @@

This is the complete list of members for parselib.transforms.typedef_expansion.TypedefExpansion, including all inherited members.

- - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + - - - - + + + + + + + + - + + + + +
__append_to_expandable_var_to_tree(self, tree, field_name)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_blkassign(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_decl_in_tree_children(self, tree, expand_data=None)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_helper(self, portdecl, typeinfo)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_htype(self, htype)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_portdecltype(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_sigdecltype(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_typeinfo(self, typeinfo)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_vardecltype(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expanded_type(self, var_name)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__get_expandable_type_from_htype(self, htype)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__get_expandable_var_from_tree(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__init__(self, types)parselib.transforms.typedef_expansion.TypedefExpansion
__is_all_none(self, v)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__set_expanded(self, var_name, var_type)parselib.transforms.typedef_expansion.TypedefExpansionprivate
current_moduleparselib.transforms.typedef_expansion.TypedefExpansion
expandedparselib.transforms.typedef_expansion.TypedefExpansion
__check_stmt_portbinding(self, stmt)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_blkassign(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_decl_in_tree_children(self, tree, expand_data=None)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_helper(self, portdecl, typeinfo)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_htype(self, htype)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_portdecltype(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_sigdecltype(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_typeinfo(self, typeinfo)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expand_vardecltype(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__expanded_type(self, var_name)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__get_expandable_type_from_htype(self, htype)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__get_expandable_var_from_tree(self, tree)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__init__(self, types)parselib.transforms.typedef_expansion.TypedefExpansion
__is_all_none(self, v)parselib.transforms.typedef_expansion.TypedefExpansionprivate
__set_expanded(self, var_name, var_type)parselib.transforms.typedef_expansion.TypedefExpansionprivate
ctxparselib.transforms.typedef_expansion.TypedefExpansion
expandedparselib.transforms.typedef_expansion.TypedefExpansion
forbody(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hfunction(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hfunctionlocalvars(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hfunctionparams(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hmethodcall(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hmodinitblock(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hmodule(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hprocess(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hsenslist(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hsensvars(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
modportsiglist(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hnamedsensvar(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hprocess(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hsenslist(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
hsensvars(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
is_in_initblockparselib.transforms.typedef_expansion.TypedefExpansion
is_in_initblockparselib.transforms.typedef_expansion.TypedefExpansion
modportsiglist(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
portbinding(self, binding)parselib.transforms.typedef_expansion.TypedefExpansion
portbindinglist(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
stmt(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
typesparselib.transforms.typedef_expansion.TypedefExpansion
stmts(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
types typedefparselib.transforms.typedef_expansion.TypedefExpansion
typesparselib.transforms.typedef_expansion.TypedefExpansion
typesparselib.transforms.typedef_expansion.TypedefExpansion
typesparselib.transforms.typedef_expansion.TypedefExpansion
vardecl(self, tree)parselib.transforms.typedef_expansion.TypedefExpansion
+
diff --git a/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html b/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html index c92806c3..11506967 100644 --- a/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html +++ b/classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.typedef_expansion.TypedefExpansion Class Reference + + @@ -32,23 +34,33 @@ - + + + +
+ + + +

+Public Types

typedef # detect the first type that is in the typedef list types = node.children[0]
 
- + + + - - @@ -133,6 +152,14 @@ + + + + + + + + @@ -145,12 +172,20 @@

Public Member Functions

 __init__ (self, types)
 __init__ (self, types)
 
 hsensvars (self, tree)
 
 hnamedsensvar (self, tree)
 
 modportsiglist (self, tree)
 
 stmt (self, tree)
 
 hprocess (self, tree)
 
 hfunction (self, tree)
 
 hmodinitblock (self, tree)
 
 stmts (self, tree)
 
 forbody (self, tree)
 
 stmt (self, tree)
 
 portbinding (self, binding)
 
 portbindinglist (self, tree)
 
 hsenslist (self, tree)
- - - + + + - - + + + + + + + + + +

Public Attributes

 types
 
 expanded
# this is the only type that gets expanded types = types
 
list expanded = [dict()]
 
 current_module
 
 ctx = ContextManager()
 
int types = 1
 
str types = 'sigdecltype':
 
bool is_in_initblock = True
 
list is_in_initblock = []
 
@@ -182,11 +217,30 @@ + +

Private Member Functions

 
 __expand_decl_in_tree_children (self, tree, expand_data=None)
 
 __check_stmt_portbinding (self, stmt)
 

Detailed Description

Expands block assignment of custom types into primitive types

Definition at line 12 of file typedef_expansion.py.

-

Constructor & Destructor Documentation

+

Member Typedef Documentation

+ +

◆ types

+ +
+
+ + + + +
detect the first type that is in the typedef list parselib.transforms.typedef_expansion.TypedefExpansion.types = node.children[0]
+
+ +

Definition at line 212 of file typedef_expansion.py.

+ +
+
+

Constructor & Destructor Documentation

◆ __init__()

@@ -196,7 +250,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__init__ ( - self, + self, @@ -225,12 +279,12 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__append_to_expandable_var_to_tree ( - self, + self, - tree, + tree, @@ -245,7 +299,38 @@

append the field_name to the expandable variable in tree
-

Definition at line 282 of file typedef_expansion.py.

+

Definition at line 316 of file typedef_expansion.py.

+ +

+ + +

◆ __check_stmt_portbinding()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.typedef_expansion.TypedefExpansion.__check_stmt_portbinding ( self,
stmt )
+
+private
+
+ +

Definition at line 585 of file typedef_expansion.py.

@@ -261,7 +346,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_blkassign ( - self, + self, @@ -277,7 +362,7 @@

detects the expandable variable on lhs and rhs and
 expand them with the fields
-

Definition at line 319 of file typedef_expansion.py.

+

Definition at line 353 of file typedef_expansion.py.

@@ -293,17 +378,17 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_decl_in_tree_children ( - self, + self, - tree, + tree, - expand_data = None ) + expand_data = None ) @@ -313,7 +398,7 @@

-

Definition at line 459 of file typedef_expansion.py.

+

Definition at line 488 of file typedef_expansion.py.

@@ -329,12 +414,12 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_helper ( - self, + self, - portdecl, + portdecl, @@ -369,7 +454,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_htype ( - self, + self, @@ -400,7 +485,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_portdecltype ( - self, + self, @@ -436,7 +521,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_sigdecltype ( - self, + self, @@ -467,7 +552,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_typeinfo ( - self, + self, @@ -498,7 +583,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expand_vardecltype ( - self, + self, @@ -529,7 +614,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__expanded_type ( - self, + self, @@ -544,7 +629,7 @@

-

Definition at line 391 of file typedef_expansion.py.

+

Definition at line 415 of file typedef_expansion.py.

@@ -560,7 +645,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__get_expandable_type_from_htype ( - self, + self, @@ -575,7 +660,7 @@

-

Definition at line 234 of file typedef_expansion.py.

+

Definition at line 268 of file typedef_expansion.py.

@@ -591,7 +676,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__get_expandable_var_from_tree ( - self, + self, @@ -606,7 +691,7 @@

-

Definition at line 241 of file typedef_expansion.py.

+

Definition at line 275 of file typedef_expansion.py.

@@ -622,7 +707,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__is_all_none ( - self, + self, @@ -637,7 +722,7 @@

checks if v is None or is a (nested) list containing only none
-

Definition at line 310 of file typedef_expansion.py.

+

Definition at line 344 of file typedef_expansion.py.

@@ -653,12 +738,12 @@

parselib.transforms.typedef_expansion.TypedefExpansion.__set_expanded ( - self, + self, - var_name, + var_name, @@ -673,7 +758,30 @@

-

Definition at line 397 of file typedef_expansion.py.

+

Definition at line 421 of file typedef_expansion.py.

+ + + + +

◆ forbody()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.typedef_expansion.TypedefExpansion.forbody ( self,
tree )
+
+ +

Definition at line 571 of file typedef_expansion.py.

@@ -686,7 +794,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hfunction ( - self, + self, @@ -696,7 +804,7 @@

-

Definition at line 409 of file typedef_expansion.py.

+

Definition at line 438 of file typedef_expansion.py.

@@ -709,7 +817,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionlocalvars ( - self, + self, @@ -719,7 +827,7 @@

-

Definition at line 454 of file typedef_expansion.py.

+

Definition at line 483 of file typedef_expansion.py.

@@ -732,7 +840,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionparams ( - self, + self, @@ -742,7 +850,7 @@

-

Definition at line 415 of file typedef_expansion.py.

+

Definition at line 444 of file typedef_expansion.py.

@@ -755,7 +863,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hmethodcall ( - self, + self, @@ -765,7 +873,7 @@

-

Definition at line 422 of file typedef_expansion.py.

+

Definition at line 451 of file typedef_expansion.py.

@@ -778,7 +886,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hmodinitblock ( - self, + self, @@ -791,7 +899,7 @@

Definition at line 487 of file typedef_expansion.py.

+

Definition at line 516 of file typedef_expansion.py.

@@ -804,7 +912,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hmodule ( - self, + self, @@ -814,7 +922,30 @@

add another scope for a module
-

Definition at line 565 of file typedef_expansion.py.

+

Definition at line 745 of file typedef_expansion.py.

+ + + + +

◆ hnamedsensvar()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.typedef_expansion.TypedefExpansion.hnamedsensvar ( self,
tree )
+
+
expand identifiers in sensitivity list with fields
+

Definition at line 161 of file typedef_expansion.py.

@@ -827,7 +958,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hprocess ( - self, + self, @@ -837,7 +968,7 @@

add another scope for a process
-

Definition at line 402 of file typedef_expansion.py.

+

Definition at line 426 of file typedef_expansion.py.

@@ -850,7 +981,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hsenslist ( - self, + self, @@ -860,7 +991,7 @@

-

Definition at line 532 of file typedef_expansion.py.

+

Definition at line 712 of file typedef_expansion.py.

@@ -873,7 +1004,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.hsensvars ( - self, + self, @@ -896,7 +1027,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.modportsiglist ( - self, + self, @@ -906,7 +1037,30 @@

-

Definition at line 161 of file typedef_expansion.py.

+

Definition at line 191 of file typedef_expansion.py.

+ + + + +

◆ portbinding()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.typedef_expansion.TypedefExpansion.portbinding ( self,
binding )
+
+ +

Definition at line 626 of file typedef_expansion.py.

@@ -919,7 +1073,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.portbindinglist ( - self, + self, @@ -929,7 +1083,7 @@

-

Definition at line 496 of file typedef_expansion.py.

+

Definition at line 673 of file typedef_expansion.py.

@@ -942,7 +1096,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.stmt ( - self, + self, @@ -952,7 +1106,30 @@

-

Definition at line 378 of file typedef_expansion.py.

+

Definition at line 592 of file typedef_expansion.py.

+ + + + +

◆ stmts()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.typedef_expansion.TypedefExpansion.stmts ( self,
tree )
+
+ +

Definition at line 546 of file typedef_expansion.py.

@@ -965,7 +1142,7 @@

parselib.transforms.typedef_expansion.TypedefExpansion.vardecl ( - self, + self, @@ -975,19 +1152,19 @@

for variable expansion in statement
-

Definition at line 448 of file typedef_expansion.py.

+

Definition at line 477 of file typedef_expansion.py.

Member Data Documentation

- -

◆ current_module

+ +

◆ ctx

- +
parselib.transforms.typedef_expansion.TypedefExpansion.current_moduleparselib.transforms.typedef_expansion.TypedefExpansion.ctx = ContextManager()
@@ -1012,20 +1189,84 @@

-

◆ types

+ +

◆ is_in_initblock [1/2]

+ +
+
+ + + + +
bool parselib.transforms.typedef_expansion.TypedefExpansion.is_in_initblock = True
+
+ +

Definition at line 522 of file typedef_expansion.py.

+ +
+
+ +

◆ is_in_initblock [2/2]

+ +
+
+ + + + +
list parselib.transforms.typedef_expansion.TypedefExpansion.is_in_initblock = []
+
+ +

Definition at line 573 of file typedef_expansion.py.

+ +
+
+ +

◆ types [1/3]

- +
parselib.transforms.typedef_expansion.TypedefExpansion.types# this is the only type that gets expanded parselib.transforms.typedef_expansion.TypedefExpansion.types = types

Definition at line 16 of file typedef_expansion.py.

+
+
+ +

◆ types [2/3]

+ +
+
+ +

Definition at line 34 of file typedef_expansion.py.

+ +
+
+ +

◆ types [3/3]

+ +
+
+ +

Definition at line 200 of file typedef_expansion.py.

+

The documentation for this class was generated from the following file:
diff --git a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup-members.html b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup-members.html index 519f256a..b610d8ac 100644 --- a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup-members.html +++ b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + + +
parselib.transforms.typedef_filter.TypeDefCleanup Member List
@@ -87,7 +100,8 @@
+ diff --git a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html index 9b89b074..74a2bbbb 100644 --- a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html +++ b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.typedef_filter.TypeDefCleanup Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter-members.html b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter-members.html index 23d89959..402ebe0b 100644 --- a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter-members.html +++ b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.typedef_filter.TypeDefFilter Member List
@@ -96,7 +109,8 @@
+ diff --git a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html index 83464891..e1c81a23 100644 --- a/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html +++ b/classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.typedef_filter.TypeDefFilter Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -129,9 +142,9 @@ - + - +

Public Attributes

 types
 types = dict()
 
 current_params
 current_params = None
 
- + @@ -282,7 +295,7 @@

parselib.transforms.typedef_filter.TypeDefFilter.htype

- + @@ -305,7 +318,7 @@

parselib.transforms.typedef_filter.TypeDefFilter.htypealias

- + @@ -328,7 +341,7 @@

parselib.transforms.typedef_filter.TypeDefFilter.htypedef

- + @@ -351,7 +364,7 @@

parselib.transforms.typedef_filter.TypeDefFilter.start

- + @@ -373,7 +386,7 @@

@@ -259,7 +272,7 @@

parselib.transforms.typedef_filter.TypeDefFilter.hdeptype

( self, self,
( self, self,
( self, self,
( self, self,
( self, self,
- +
parselib.transforms.typedef_filter.TypeDefFilter.current_paramsparselib.transforms.typedef_filter.TypeDefFilter.current_params = None
@@ -404,7 +417,8 @@

+

diff --git a/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass-members.html b/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass-members.html index 58bd26eb..60d3d421 100644 --- a/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass-members.html +++ b/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
parselib.transforms.verilog_tranlation.VerilogTranslationPass Member List
@@ -85,39 +98,47 @@ __add_local_variables(self, var_name)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate __all_local_variables(self, var_names)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate __check_const(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __current_scope_typeparselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __gen_funcparam(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __generate_hthread_block(self, tree, is_sync)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __generate_vars_decl(self, ind, res, vars)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __get_var_name(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __get_var_names(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __init__(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass - __is_generated_signal(self, name)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __is_local_variable(self, var_name)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __is_synchronous_sensitivity_list(self, sense_list)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - __reset_local_variables(self)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate - _clean_harrayref(self, harrayref_node)parselib.transforms.verilog_tranlation.VerilogTranslationPassprotected - bindingsparselib.transforms.verilog_tranlation.VerilogTranslationPass + __current_scope_typeparselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __forstmt_gen_block(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __gen_funcparam(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __generate_hthread_block(self, tree, is_sync)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __generate_vars_decl(self, ind, res, vars)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __get_var_name(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __get_var_names(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __init__(self, itf_meta)parselib.transforms.verilog_tranlation.VerilogTranslationPass + __is_generated_signal(self, name)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __is_local_variable(self, var_name)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __is_synchronous_sensitivity_list(self, sense_list)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + __reset_local_variables(self)parselib.transforms.verilog_tranlation.VerilogTranslationPassprivate + _clean_harrayref(self, harrayref_node)parselib.transforms.verilog_tranlation.VerilogTranslationPassprotected + _get_interface_instance(self, mod_name, mod_type_name, is_array)parselib.transforms.verilog_tranlation.VerilogTranslationPassprotected + _get_interface_instance_decl(self, mod_name, mod_type_name, ind, is_array)parselib.transforms.verilog_tranlation.VerilogTranslationPassprotected + bindingsparselib.transforms.verilog_tranlation.VerilogTranslationPass + bindingsparselib.transforms.verilog_tranlation.VerilogTranslationPass blkassign(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass breakstmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass casestmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass casevalue(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass collect_type(self, port_or_sig)parselib.transforms.verilog_tranlation.VerilogTranslationPass continuestmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - current_indentparselib.transforms.verilog_tranlation.VerilogTranslationPass - current_moduleparselib.transforms.verilog_tranlation.VerilogTranslationPass - current_proc_nameparselib.transforms.verilog_tranlation.VerilogTranslationPass - dec_indent(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass - dostmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - expand_binding_ref(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - forbody(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - forcond(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - forinit(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - forpostcond(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - forstmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - func_param_name_stub(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - funcparami(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - funcparamio(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + ctxparselib.transforms.verilog_tranlation.VerilogTranslationPass + current_indentparselib.transforms.verilog_tranlation.VerilogTranslationPass + current_moduleparselib.transforms.verilog_tranlation.VerilogTranslationPass + current_proc_nameparselib.transforms.verilog_tranlation.VerilogTranslationPass + dec_indent(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass + dostmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + expand_binding_ref(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + forbody(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + forcond(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + forinit(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + forpostcond(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + forstmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + func_param_name_stub(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + funcparami(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + funcparamio(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + genbindinglist(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + genfor(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + genvardecl(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass get_current_ind_prefix(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass get_current_module_var_type(self, id)parselib.transforms.verilog_tranlation.VerilogTranslationPass get_current_module_var_type_or_default(self, id, default=None)parselib.transforms.verilog_tranlation.VerilogTranslationPass @@ -135,6 +156,8 @@ hfunctionlocalvars(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass hfunctionparams(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass hfunctionrettype(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + hgenerateblock(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + hgenvardecl(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass hliteral(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass hlrotate(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass hmethodcall(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass @@ -166,18 +189,26 @@ hvarref(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass hwait(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass ifstmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - in_for_initparselib.transforms.verilog_tranlation.VerilogTranslationPass + in_for_initparselib.transforms.verilog_tranlation.VerilogTranslationPass inc_indent(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass - indent_characterparselib.transforms.verilog_tranlation.VerilogTranslationPass - indent_incparselib.transforms.verilog_tranlation.VerilogTranslationPass + indent_characterparselib.transforms.verilog_tranlation.VerilogTranslationPass + indent_incparselib.transforms.verilog_tranlation.VerilogTranslationPass indent_stackparselib.transforms.verilog_tranlation.VerilogTranslationPass insert_current_module_var_type(self, id, tpe)parselib.transforms.verilog_tranlation.VerilogTranslationPass - is_in_threadparselib.transforms.verilog_tranlation.VerilogTranslationPass - local_variablesparselib.transforms.verilog_tranlation.VerilogTranslationPass - modportsiglist(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - module_var_typeparselib.transforms.verilog_tranlation.VerilogTranslationPass + interface(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + interfaces(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + is_in_gen_blockparselib.transforms.verilog_tranlation.VerilogTranslationPass + is_in_gen_blockparselib.transforms.verilog_tranlation.VerilogTranslationPass + is_in_threadparselib.transforms.verilog_tranlation.VerilogTranslationPass + itf_metaparselib.transforms.verilog_tranlation.VerilogTranslationPass + local_variablesparselib.transforms.verilog_tranlation.VerilogTranslationPass + modportsiglist(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + module_var_typeparselib.transforms.verilog_tranlation.VerilogTranslationPass + modulearrayinst(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass moduleinst(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass modulelist(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + non_thread_comb_signalsparselib.transforms.verilog_tranlation.VerilogTranslationPass + non_thread_comb_signalsparselib.transforms.verilog_tranlation.VerilogTranslationPass nonrefexp(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass npa(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass numlitwidth(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass @@ -189,26 +220,28 @@ push_indent(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass reset_current_module_var_type(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass reset_current_proc_name(self)parselib.transforms.verilog_tranlation.VerilogTranslationPass - senselistparselib.transforms.verilog_tranlation.VerilogTranslationPass - set_current_proc_name(self, name)parselib.transforms.verilog_tranlation.VerilogTranslationPass - sigdecltype(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - start(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - stmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - stmts(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - switchbody(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - switchcond(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - switchstmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - syscread(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - syscwrite(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - thread_combparselib.transforms.verilog_tranlation.VerilogTranslationPass - thread_nameparselib.transforms.verilog_tranlation.VerilogTranslationPass - vardecl(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - vardeclinit(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass - whilestmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + senselistparselib.transforms.verilog_tranlation.VerilogTranslationPass + senselistparselib.transforms.verilog_tranlation.VerilogTranslationPass + set_current_proc_name(self, name)parselib.transforms.verilog_tranlation.VerilogTranslationPass + sigdecltype(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + start(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + stmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + stmts(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + switchbody(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + switchcond(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + switchstmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + syscread(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + syscwrite(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + thread_combparselib.transforms.verilog_tranlation.VerilogTranslationPass + thread_nameparselib.transforms.verilog_tranlation.VerilogTranslationPass + vardecl(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + vardeclinit(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass + whilestmt(self, tree)parselib.transforms.verilog_tranlation.VerilogTranslationPass
+ diff --git a/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html b/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html index 5e18ebfe..8fbd676a 100644 --- a/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html +++ b/classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.verilog_tranlation.VerilogTranslationPass Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -113,14 +126,18 @@ - - + + + + + + @@ -241,6 +258,8 @@ + + @@ -295,6 +314,12 @@ + + + + + + @@ -303,45 +328,69 @@ + + + +

Public Member Functions

 __init__ (self)
 
 __init__ (self, itf_meta)
 
 get_current_scope_type (self)
 
 push_current_scope_type (self, scope)
 
 pop_current_scope_type (self)
 
 interfaces (self, tree)
 
 interface (self, tree)
 
 start (self, tree)
 
 modulelist (self, tree)
 
 expand_binding_ref (self, tree)
 
 modulearrayinst (self, tree)
 
 moduleinst (self, tree)
 
 hlrotate (self, tree)
 
 hthreadswitch (self, tree)
 
 genbindinglist (self, tree)
 
 genvardecl (self, tree)
 
 genfor (self, tree)
 
 hmodule (self, tree)
 
 hbuiltin (self, tree)
 
 hscmin (self, tree)
 
 hgenvardecl (self, tree)
 
 hgenerateblock (self, tree)
 
- Public Member Functions inherited from parselib.transforms.top_down.TopDown
 __default__ (self, t)
 
- - - + + + - - - + + + - - - + + + - - - + + + - + - - - - - - + + + + + + + + + + + + + + + + + + - + + + + +

Public Attributes

 indent_character
 
 current_indent
str indent_character = ' '
 
int current_indent = 0
 
 indent_inc
 
 indent_stack
int indent_inc = 2
 
 indent_stack = list()
 
 bindings
 
 local_variables
 bindings = dict()
 
 local_variables = set()
 
 in_for_init
 
 module_var_type
bool in_for_init = False
 
 module_var_type = None
 
 current_proc_name
 current_proc_name = None
 
 is_in_thread
 
 thread_comb
 
 senselist
 
bool is_in_thread = False
 
bool thread_comb = False
 
 non_thread_comb_signals = set()
 
bool is_in_gen_block = False
 
 itf_meta = itf_meta
 
 ctx = ContextManager()
 
str non_thread_comb_signals = ''
 
list is_in_gen_block = []
 
dict senselist
 
 current_module
 
 thread_name
list bindings = []
 
 thread_name = tree.children[0]
 
list senselist = []
 
+ + + +

Protected Member Functions

 _clean_harrayref (self, harrayref_node)
 
 _get_interface_instance_decl (self, mod_name, mod_type_name, ind, is_array)
 
 _get_interface_instance (self, mod_name, mod_type_name, is_array)
 
@@ -369,21 +418,23 @@ + +

Private Member Functions

 
 __generate_vars_decl (self, ind, res, vars)
 
 __forstmt_gen_block (self, tree)
 
- - + +

Private Attributes

 __current_scope_type
 
list __current_scope_type = [None]
 

Detailed Description

Translate low-level format of the _hdl.txt into Verilog
 Note that type defs are already expanded at this point, so all htypeinfo/htype should only include primitive types
 This pass does not perform any tree transformation that alters the semantics, but **only** generates Verilog
 
-

Definition at line 11 of file verilog_tranlation.py.

+

Definition at line 25 of file verilog_tranlation.py.

Constructor & Destructor Documentation

- -

◆ __init__()

+ +

◆ __init__()

@@ -416,7 +471,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__add_local_variables ( - self, + self, @@ -431,7 +486,7 @@

-

Definition at line 620 of file verilog_tranlation.py.

+

Definition at line 760 of file verilog_tranlation.py.

@@ -447,7 +502,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__all_local_variables ( - self, + self, @@ -462,7 +517,7 @@

-

Definition at line 265 of file verilog_tranlation.py.

+

Definition at line 348 of file verilog_tranlation.py.

@@ -478,7 +533,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__check_const ( - self, + self, @@ -493,7 +548,38 @@

check whether the tree valuates to constant
-

Definition at line 205 of file verilog_tranlation.py.

+

Definition at line 288 of file verilog_tranlation.py.

+ + + + +

◆ __forstmt_gen_block()

+ +
+
+ + + + + +
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.__forstmt_gen_block ( self,
tree )
+
+private
+
+ +

Definition at line 1503 of file verilog_tranlation.py.

@@ -509,7 +595,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__gen_funcparam ( - self, + self, @@ -524,7 +610,7 @@

-

Definition at line 646 of file verilog_tranlation.py.

+

Definition at line 786 of file verilog_tranlation.py.

@@ -540,12 +626,12 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__generate_hthread_block ( - self, + self, - tree, + tree, @@ -560,7 +646,7 @@

-

Definition at line 934 of file verilog_tranlation.py.

+

Definition at line 1227 of file verilog_tranlation.py.

@@ -576,17 +662,17 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__generate_vars_decl ( - self, + self, - ind, + ind, - res, + res, @@ -601,7 +687,7 @@

-

Definition at line 1143 of file verilog_tranlation.py.

+

Definition at line 1479 of file verilog_tranlation.py.

@@ -617,7 +703,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__get_var_name ( - self, + self, @@ -632,7 +718,7 @@

-

Definition at line 59 of file verilog_tranlation.py.

+

Definition at line 120 of file verilog_tranlation.py.

@@ -648,7 +734,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__get_var_names ( - self, + self, @@ -663,7 +749,7 @@

return a list of variable names
-

Definition at line 69 of file verilog_tranlation.py.

+

Definition at line 130 of file verilog_tranlation.py.

@@ -679,7 +765,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__is_generated_signal ( - self, + self, @@ -694,7 +780,7 @@

-

Definition at line 1140 of file verilog_tranlation.py.

+

Definition at line 1476 of file verilog_tranlation.py.

@@ -710,7 +796,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__is_local_variable ( - self, + self, @@ -725,7 +811,7 @@

-

Definition at line 262 of file verilog_tranlation.py.

+

Definition at line 345 of file verilog_tranlation.py.

@@ -741,7 +827,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.__is_synchronous_sensitivity_list ( - self, + self, @@ -756,7 +842,7 @@

-

Definition at line 577 of file verilog_tranlation.py.

+

Definition at line 708 of file verilog_tranlation.py.

@@ -783,7 +869,7 @@

-

Definition at line 617 of file verilog_tranlation.py.

+

Definition at line 757 of file verilog_tranlation.py.

@@ -799,7 +885,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass._clean_harrayref ( - self, + self, @@ -814,7 +900,94 @@

-

Definition at line 215 of file verilog_tranlation.py.

+

Definition at line 298 of file verilog_tranlation.py.

+ + + + +

◆ _get_interface_instance()

+ +
+
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass._get_interface_instance ( self,
mod_name,
mod_type_name,
is_array )
+
+protected
+
+ +

Definition at line 845 of file verilog_tranlation.py.

+ +
+
+ +

◆ _get_interface_instance_decl()

+ +
+
+ + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass._get_interface_instance_decl ( self,
mod_name,
mod_type_name,
ind,
is_array )
+
+protected
+
+ +

Definition at line 835 of file verilog_tranlation.py.

@@ -827,7 +1000,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.blkassign ( - self, + self, @@ -837,7 +1010,7 @@

-

Definition at line 89 of file verilog_tranlation.py.

+

Definition at line 154 of file verilog_tranlation.py.

@@ -850,7 +1023,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.breakstmt ( - self, + self, @@ -860,7 +1033,7 @@

-

Definition at line 362 of file verilog_tranlation.py.

+

Definition at line 451 of file verilog_tranlation.py.

@@ -873,7 +1046,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.casestmt ( - self, + self, @@ -883,7 +1056,7 @@

-

Definition at line 342 of file verilog_tranlation.py.

+

Definition at line 431 of file verilog_tranlation.py.

@@ -896,7 +1069,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.casevalue ( - self, + self, @@ -906,7 +1079,7 @@

-

Definition at line 332 of file verilog_tranlation.py.

+

Definition at line 421 of file verilog_tranlation.py.

@@ -919,7 +1092,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.collect_type ( - self, + self, @@ -929,7 +1102,7 @@

-

Definition at line 882 of file verilog_tranlation.py.

+

Definition at line 1175 of file verilog_tranlation.py.

@@ -942,7 +1115,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.continuestmt ( - self, + self, @@ -952,7 +1125,7 @@

-

Definition at line 325 of file verilog_tranlation.py.

+

Definition at line 414 of file verilog_tranlation.py.

@@ -971,7 +1144,7 @@

-

Definition at line 444 of file verilog_tranlation.py.

+

Definition at line 568 of file verilog_tranlation.py.

@@ -984,7 +1157,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.dostmt ( - self, + self, @@ -994,7 +1167,7 @@

-

Definition at line 422 of file verilog_tranlation.py.

+

Definition at line 546 of file verilog_tranlation.py.

@@ -1007,7 +1180,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.expand_binding_ref ( - self, + self, @@ -1017,7 +1190,7 @@

-

Definition at line 689 of file verilog_tranlation.py.

+

Definition at line 829 of file verilog_tranlation.py.

@@ -1030,7 +1203,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.forbody ( - self, + self, @@ -1040,7 +1213,7 @@

-

Definition at line 492 of file verilog_tranlation.py.

+

Definition at line 616 of file verilog_tranlation.py.

@@ -1053,7 +1226,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.forcond ( - self, + self, @@ -1063,7 +1236,7 @@

-

Definition at line 484 of file verilog_tranlation.py.

+

Definition at line 608 of file verilog_tranlation.py.

@@ -1076,7 +1249,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.forinit ( - self, + self, @@ -1086,7 +1259,7 @@

-

Definition at line 473 of file verilog_tranlation.py.

+

Definition at line 597 of file verilog_tranlation.py.

@@ -1099,7 +1272,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.forpostcond ( - self, + self, @@ -1109,7 +1282,7 @@

-

Definition at line 488 of file verilog_tranlation.py.

+

Definition at line 612 of file verilog_tranlation.py.

@@ -1122,7 +1295,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.forstmt ( - self, + self, @@ -1132,7 +1305,7 @@

-

Definition at line 496 of file verilog_tranlation.py.

+

Definition at line 620 of file verilog_tranlation.py.

@@ -1145,7 +1318,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.func_param_name_stub ( - self, + self, @@ -1155,7 +1328,7 @@

-

Definition at line 809 of file verilog_tranlation.py.

+

Definition at line 1102 of file verilog_tranlation.py.

@@ -1168,7 +1341,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.funcparami ( - self, + self, @@ -1178,7 +1351,7 @@

-

Definition at line 657 of file verilog_tranlation.py.

+

Definition at line 797 of file verilog_tranlation.py.

@@ -1191,7 +1364,53 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.funcparamio ( - self, + self, + + + + + tree ) + + + + + +

◆ genbindinglist()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.genbindinglist ( self,
tree )
+
+ +

Definition at line 1271 of file verilog_tranlation.py.

+ +
+
+ +

◆ genfor()

+ +
+
+ + + + + @@ -1201,7 +1420,30 @@

-

Definition at line 660 of file verilog_tranlation.py.

+

Definition at line 1282 of file verilog_tranlation.py.

+ + + + +

◆ genvardecl()

+ +
+
+

parselib.transforms.verilog_tranlation.VerilogTranslationPass.genfor ( self,
+ + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.genvardecl ( self,
tree )
+
+ +

Definition at line 1277 of file verilog_tranlation.py.

@@ -1220,7 +1462,7 @@

-

Definition at line 328 of file verilog_tranlation.py.

+

Definition at line 417 of file verilog_tranlation.py.

@@ -1233,7 +1475,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.get_current_module_var_type ( - self, + self, @@ -1243,7 +1485,7 @@

-

Definition at line 904 of file verilog_tranlation.py.

+

Definition at line 1197 of file verilog_tranlation.py.

@@ -1256,22 +1498,22 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.get_current_module_var_type_or_default ( - self, + self, - id, + id, - default = None ) + default = None ) @@ -1290,7 +1532,7 @@

-

Definition at line 574 of file verilog_tranlation.py.

+

Definition at line 705 of file verilog_tranlation.py.

@@ -1311,7 +1553,7 @@

denotes one of four types of scope: loop, switch, branch, None currently, this is only useful for determine the handling of breaks -

Definition at line 31 of file verilog_tranlation.py.

+

Definition at line 49 of file verilog_tranlation.py.

@@ -1330,7 +1572,7 @@

-

Definition at line 528 of file verilog_tranlation.py.

+

Definition at line 659 of file verilog_tranlation.py.

@@ -1343,7 +1585,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.harrayref ( - self, + self, @@ -1353,7 +1595,7 @@

-

Definition at line 222 of file verilog_tranlation.py.

+

Definition at line 305 of file verilog_tranlation.py.

@@ -1366,7 +1608,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbindingarrayref ( - self, + self, @@ -1378,7 +1620,7 @@

this expansion should only be invoked by expanding_binding_ref and should not be invoked elsewhere the reason is that we need to collect binding information per arry-like port -

Definition at line 681 of file verilog_tranlation.py.

+

Definition at line 821 of file verilog_tranlation.py.

@@ -1391,7 +1633,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbinop ( - self, + self, @@ -1401,7 +1643,7 @@

-

Definition at line 268 of file verilog_tranlation.py.

+

Definition at line 351 of file verilog_tranlation.py.

@@ -1414,7 +1656,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbuiltin ( - self, + self, @@ -1424,7 +1666,7 @@

-

Definition at line 1122 of file verilog_tranlation.py.

+

Definition at line 1458 of file verilog_tranlation.py.

@@ -1437,7 +1679,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hconcat ( - self, + self, @@ -1447,7 +1689,7 @@

-

Definition at line 786 of file verilog_tranlation.py.

+

Definition at line 1079 of file verilog_tranlation.py.

@@ -1460,7 +1702,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hcondop ( - self, + self, @@ -1470,7 +1712,7 @@

-

Definition at line 183 of file verilog_tranlation.py.

+

Definition at line 266 of file verilog_tranlation.py.

@@ -1483,7 +1725,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hcstmt ( - self, + self, @@ -1493,7 +1735,7 @@

-

Definition at line 317 of file verilog_tranlation.py.

+

Definition at line 406 of file verilog_tranlation.py.

@@ -1506,7 +1748,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunction ( - self, + self, @@ -1516,7 +1758,7 @@

-

Definition at line 850 of file verilog_tranlation.py.

+

Definition at line 1143 of file verilog_tranlation.py.

@@ -1529,7 +1771,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionlocalvars ( - self, + self, @@ -1539,7 +1781,7 @@

-

Definition at line 846 of file verilog_tranlation.py.

+

Definition at line 1139 of file verilog_tranlation.py.

@@ -1552,7 +1794,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionparams ( - self, + self, @@ -1562,7 +1804,7 @@

-

Definition at line 817 of file verilog_tranlation.py.

+

Definition at line 1110 of file verilog_tranlation.py.

@@ -1575,7 +1817,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionrettype ( - self, + self, @@ -1585,7 +1827,53 @@

-

Definition at line 821 of file verilog_tranlation.py.

+

Definition at line 1114 of file verilog_tranlation.py.

+ + + + +

◆ hgenerateblock()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.hgenerateblock ( self,
tree )
+
+ +

Definition at line 1530 of file verilog_tranlation.py.

+ +
+
+ +

◆ hgenvardecl()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.hgenvardecl ( self,
tree )
+
+ +

Definition at line 1491 of file verilog_tranlation.py.

@@ -1598,7 +1886,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hliteral ( - self, + self, @@ -1608,7 +1896,7 @@

stops at literal, it is some kinds of terminal
-

Definition at line 187 of file verilog_tranlation.py.

+

Definition at line 270 of file verilog_tranlation.py.

@@ -1621,7 +1909,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hlrotate ( - self, + self, @@ -1631,7 +1919,7 @@

-

Definition at line 777 of file verilog_tranlation.py.

+

Definition at line 1070 of file verilog_tranlation.py.

@@ -1644,7 +1932,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmethodcall ( - self, + self, @@ -1654,7 +1942,7 @@

-

Definition at line 77 of file verilog_tranlation.py.

+

Definition at line 138 of file verilog_tranlation.py.

@@ -1667,7 +1955,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmodule ( - self, + self, @@ -1677,7 +1965,7 @@

-

Definition at line 974 of file verilog_tranlation.py.

+

Definition at line 1286 of file verilog_tranlation.py.

@@ -1690,7 +1978,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hnoop ( - self, + self, @@ -1700,7 +1988,7 @@

-

Definition at line 406 of file verilog_tranlation.py.

+

Definition at line 530 of file verilog_tranlation.py.

@@ -1713,7 +2001,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.horreduce ( - self, + self, @@ -1723,7 +2011,7 @@

-

Definition at line 781 of file verilog_tranlation.py.

+

Definition at line 1074 of file verilog_tranlation.py.

@@ -1736,7 +2024,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hpostfix ( - self, + self, @@ -1746,7 +2034,7 @@

-

Definition at line 289 of file verilog_tranlation.py.

+

Definition at line 378 of file verilog_tranlation.py.

@@ -1759,7 +2047,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hprefix ( - self, + self, @@ -1769,7 +2057,7 @@

-

Definition at line 293 of file verilog_tranlation.py.

+

Definition at line 382 of file verilog_tranlation.py.

@@ -1782,7 +2070,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hprocess ( - self, + self, @@ -1792,7 +2080,7 @@

-

Definition at line 580 of file verilog_tranlation.py.

+

Definition at line 711 of file verilog_tranlation.py.

@@ -1805,7 +2093,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hreturnstmt ( - self, + self, @@ -1815,7 +2103,7 @@

-

Definition at line 631 of file verilog_tranlation.py.

+

Definition at line 771 of file verilog_tranlation.py.

@@ -1828,7 +2116,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hscmax ( - self, + self, @@ -1838,7 +2126,7 @@

-

Definition at line 1126 of file verilog_tranlation.py.

+

Definition at line 1462 of file verilog_tranlation.py.

@@ -1851,7 +2139,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hscmin ( - self, + self, @@ -1861,7 +2149,7 @@

-

Definition at line 1133 of file verilog_tranlation.py.

+

Definition at line 1469 of file verilog_tranlation.py.

@@ -1874,7 +2162,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensedge ( - self, + self, @@ -1884,7 +2172,7 @@

-

Definition at line 524 of file verilog_tranlation.py.

+

Definition at line 655 of file verilog_tranlation.py.

@@ -1897,7 +2185,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsenslist ( - self, + self, @@ -1907,7 +2195,7 @@

-

Definition at line 531 of file verilog_tranlation.py.

+

Definition at line 662 of file verilog_tranlation.py.

@@ -1920,7 +2208,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensvars ( - self, + self, @@ -1930,7 +2218,7 @@

-

Definition at line 517 of file verilog_tranlation.py.

+

Definition at line 648 of file verilog_tranlation.py.

@@ -1943,7 +2231,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsigassignl ( - self, + self, @@ -1953,7 +2241,7 @@

-

Definition at line 258 of file verilog_tranlation.py.

+

Definition at line 341 of file verilog_tranlation.py.

@@ -1966,7 +2254,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthread ( - self, + self, @@ -1976,7 +2264,7 @@

-

Definition at line 920 of file verilog_tranlation.py.

+

Definition at line 1213 of file verilog_tranlation.py.

@@ -1989,7 +2277,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthreadswitch ( - self, + self, @@ -1999,7 +2287,7 @@

-

Definition at line 968 of file verilog_tranlation.py.

+

Definition at line 1265 of file verilog_tranlation.py.

@@ -2012,7 +2300,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthreadsync ( - self, + self, @@ -2022,7 +2310,7 @@

-

Definition at line 964 of file verilog_tranlation.py.

+

Definition at line 1261 of file verilog_tranlation.py.

@@ -2035,7 +2323,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.htoint ( - self, + self, @@ -2045,7 +2333,7 @@

-

Definition at line 834 of file verilog_tranlation.py.

+

Definition at line 1127 of file verilog_tranlation.py.

@@ -2058,7 +2346,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.htolong ( - self, + self, @@ -2068,7 +2356,7 @@

-

Definition at line 842 of file verilog_tranlation.py.

+

Definition at line 1135 of file verilog_tranlation.py.

@@ -2081,7 +2369,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.htouint ( - self, + self, @@ -2091,7 +2379,7 @@

-

Definition at line 830 of file verilog_tranlation.py.

+

Definition at line 1123 of file verilog_tranlation.py.

@@ -2104,7 +2392,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.htoulong ( - self, + self, @@ -2114,7 +2402,7 @@

-

Definition at line 838 of file verilog_tranlation.py.

+

Definition at line 1131 of file verilog_tranlation.py.

@@ -2127,7 +2415,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.htype ( - self, + self, @@ -2137,7 +2425,7 @@

-

Definition at line 624 of file verilog_tranlation.py.

+

Definition at line 764 of file verilog_tranlation.py.

@@ -2150,7 +2438,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.htypeinfo ( - self, + self, @@ -2160,7 +2448,7 @@

-

Definition at line 804 of file verilog_tranlation.py.

+

Definition at line 1097 of file verilog_tranlation.py.

@@ -2173,7 +2461,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hunop ( - self, + self, @@ -2183,7 +2471,7 @@

-

Definition at line 297 of file verilog_tranlation.py.

+

Definition at line 386 of file verilog_tranlation.py.

@@ -2196,7 +2484,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvalchange ( - self, + self, @@ -2206,7 +2494,7 @@

-

Definition at line 563 of file verilog_tranlation.py.

+

Definition at line 694 of file verilog_tranlation.py.

@@ -2219,7 +2507,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvarinitlist ( - self, + self, @@ -2229,7 +2517,7 @@

-

Definition at line 85 of file verilog_tranlation.py.

+

Definition at line 150 of file verilog_tranlation.py.

@@ -2242,7 +2530,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvarref ( - self, + self, @@ -2252,7 +2540,7 @@

-

Definition at line 193 of file verilog_tranlation.py.

+

Definition at line 276 of file verilog_tranlation.py.

@@ -2265,7 +2553,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.hwait ( - self, + self, @@ -2275,7 +2563,7 @@

-

Definition at line 81 of file verilog_tranlation.py.

+

Definition at line 146 of file verilog_tranlation.py.

@@ -2288,7 +2576,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.ifstmt ( - self, + self, @@ -2298,7 +2586,7 @@

-

Definition at line 454 of file verilog_tranlation.py.

+

Definition at line 578 of file verilog_tranlation.py.

@@ -2317,7 +2605,7 @@

-

Definition at line 441 of file verilog_tranlation.py.

+

Definition at line 565 of file verilog_tranlation.py.

@@ -2330,12 +2618,12 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.insert_current_module_var_type ( - self, + self, - id, + id, @@ -2345,7 +2633,53 @@

-

Definition at line 915 of file verilog_tranlation.py.

+

Definition at line 1208 of file verilog_tranlation.py.

+ + + + +

◆ interface()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.interface ( self,
tree )
+
+ +

Definition at line 66 of file verilog_tranlation.py.

+ +
+
+ +

◆ interfaces()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.interfaces ( self,
tree )
+
+ +

Definition at line 62 of file verilog_tranlation.py.

@@ -2358,7 +2692,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.modportsiglist ( - self, + self, @@ -2368,7 +2702,30 @@

-

Definition at line 900 of file verilog_tranlation.py.

+

Definition at line 1193 of file verilog_tranlation.py.

+ + + + +

◆ modulearrayinst()

+ +
+
+ + + + + + + + + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.modulearrayinst ( self,
tree )
+
+ +

Definition at line 851 of file verilog_tranlation.py.

@@ -2381,7 +2738,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.moduleinst ( - self, + self, @@ -2391,7 +2748,7 @@

-

Definition at line 695 of file verilog_tranlation.py.

+

Definition at line 959 of file verilog_tranlation.py.

@@ -2404,7 +2761,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.modulelist ( - self, + self, @@ -2414,7 +2771,7 @@

-

Definition at line 49 of file verilog_tranlation.py.

+

Definition at line 110 of file verilog_tranlation.py.

@@ -2427,7 +2784,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.nonrefexp ( - self, + self, @@ -2437,7 +2794,7 @@

-

Definition at line 54 of file verilog_tranlation.py.

+

Definition at line 115 of file verilog_tranlation.py.

@@ -2450,7 +2807,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.npa ( - self, + self, @@ -2460,7 +2817,7 @@

-

Definition at line 521 of file verilog_tranlation.py.

+

Definition at line 652 of file verilog_tranlation.py.

@@ -2473,7 +2830,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.numlitwidth ( - self, + self, @@ -2483,7 +2840,7 @@

-

Definition at line 176 of file verilog_tranlation.py.

+

Definition at line 259 of file verilog_tranlation.py.

@@ -2502,7 +2859,7 @@

-

Definition at line 41 of file verilog_tranlation.py.

+

Definition at line 59 of file verilog_tranlation.py.

@@ -2521,7 +2878,7 @@

-

Definition at line 451 of file verilog_tranlation.py.

+

Definition at line 575 of file verilog_tranlation.py.

@@ -2534,7 +2891,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.portdecltype ( - self, + self, @@ -2544,7 +2901,7 @@

-

Definition at line 895 of file verilog_tranlation.py.

+

Definition at line 1188 of file verilog_tranlation.py.

@@ -2557,7 +2914,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.prevardecl ( - self, + self, @@ -2567,7 +2924,7 @@

-

Definition at line 796 of file verilog_tranlation.py.

+

Definition at line 1089 of file verilog_tranlation.py.

@@ -2580,7 +2937,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.push_current_scope_type ( - self, + self, @@ -2590,7 +2947,7 @@

-

Definition at line 37 of file verilog_tranlation.py.

+

Definition at line 55 of file verilog_tranlation.py.

@@ -2609,7 +2966,7 @@

used to service temporary indent removal, such as that in for condition
-

Definition at line 447 of file verilog_tranlation.py.

+

Definition at line 571 of file verilog_tranlation.py.

@@ -2628,7 +2985,7 @@

-

Definition at line 912 of file verilog_tranlation.py.

+

Definition at line 1205 of file verilog_tranlation.py.

@@ -2647,7 +3004,7 @@

-

Definition at line 571 of file verilog_tranlation.py.

+

Definition at line 702 of file verilog_tranlation.py.

@@ -2660,7 +3017,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.set_current_proc_name ( - self, + self, @@ -2670,7 +3027,7 @@

-

Definition at line 568 of file verilog_tranlation.py.

+

Definition at line 699 of file verilog_tranlation.py.

@@ -2683,7 +3040,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.sigdecltype ( - self, + self, @@ -2693,7 +3050,7 @@

-

Definition at line 890 of file verilog_tranlation.py.

+

Definition at line 1183 of file verilog_tranlation.py.

@@ -2706,7 +3063,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.start ( - self, + self, @@ -2716,7 +3073,7 @@

-

Definition at line 45 of file verilog_tranlation.py.

+

Definition at line 105 of file verilog_tranlation.py.

@@ -2729,7 +3086,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmt ( - self, + self, @@ -2739,7 +3096,7 @@

-

Definition at line 370 of file verilog_tranlation.py.

+

Definition at line 459 of file verilog_tranlation.py.

@@ -2752,7 +3109,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmts ( - self, + self, @@ -2762,7 +3119,7 @@

-

Definition at line 436 of file verilog_tranlation.py.

+

Definition at line 560 of file verilog_tranlation.py.

@@ -2775,7 +3132,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.switchbody ( - self, + self, @@ -2785,7 +3142,7 @@

-

Definition at line 336 of file verilog_tranlation.py.

+

Definition at line 425 of file verilog_tranlation.py.

@@ -2798,7 +3155,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.switchcond ( - self, + self, @@ -2808,7 +3165,7 @@

-

Definition at line 350 of file verilog_tranlation.py.

+

Definition at line 439 of file verilog_tranlation.py.

@@ -2821,7 +3178,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.switchstmt ( - self, + self, @@ -2831,7 +3188,7 @@

-

Definition at line 354 of file verilog_tranlation.py.

+

Definition at line 443 of file verilog_tranlation.py.

@@ -2844,7 +3201,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.syscread ( - self, + self, @@ -2854,7 +3211,7 @@

syscread: hsigassignr, token
-

Definition at line 200 of file verilog_tranlation.py.

+

Definition at line 283 of file verilog_tranlation.py.

@@ -2867,7 +3224,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.syscwrite ( - self, + self, @@ -2877,7 +3234,7 @@

-

Definition at line 169 of file verilog_tranlation.py.

+

Definition at line 252 of file verilog_tranlation.py.

@@ -2890,7 +3247,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardecl ( - self, + self, @@ -2900,7 +3257,7 @@

-

Definition at line 792 of file verilog_tranlation.py.

+

Definition at line 1085 of file verilog_tranlation.py.

@@ -2913,7 +3270,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardeclinit ( - self, + self, @@ -2923,7 +3280,7 @@

-

Definition at line 663 of file verilog_tranlation.py.

+

Definition at line 803 of file verilog_tranlation.py.

@@ -2936,7 +3293,7 @@

parselib.transforms.verilog_tranlation.VerilogTranslationPass.whilestmt ( - self, + self, @@ -2946,13 +3303,13 @@

-

Definition at line 410 of file verilog_tranlation.py.

+

Definition at line 534 of file verilog_tranlation.py.

Member Data Documentation

- -

◆ __current_scope_type

+ +

◆ __current_scope_type

- -

◆ bindings

+ +

◆ bindings [1/2]

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindingslist parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindings = dict()
-

Definition at line 22 of file verilog_tranlation.py.

+

Definition at line 36 of file verilog_tranlation.py.

+ +
+
+ +

◆ bindings [2/2]

+ +
+
+ + + + +
list parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindings = []
+
+ +

Definition at line 879 of file verilog_tranlation.py.

+ +
+
+ +

◆ ctx

+ +
+
+ + + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.ctx = ContextManager()
+
+ +

Definition at line 47 of file verilog_tranlation.py.

@@ -2998,12 +3387,12 @@

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.current_indentparselib.transforms.verilog_tranlation.VerilogTranslationPass.current_indent = 0
@@ -3019,7 +3408,7 @@

-

Definition at line 598 of file verilog_tranlation.py.

+

Definition at line 736 of file verilog_tranlation.py.

@@ -3030,60 +3419,60 @@

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.current_proc_nameparselib.transforms.verilog_tranlation.VerilogTranslationPass.current_proc_name = None
- -

◆ in_for_init

+ +

◆ in_for_init

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.in_for_initbool parselib.transforms.verilog_tranlation.VerilogTranslationPass.in_for_init = False
-

Definition at line 24 of file verilog_tranlation.py.

+

Definition at line 38 of file verilog_tranlation.py.

- -

◆ indent_character

+ +

◆ indent_character

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.indent_characterstr parselib.transforms.verilog_tranlation.VerilogTranslationPass.indent_character = ' '
-

Definition at line 18 of file verilog_tranlation.py.

+

Definition at line 32 of file verilog_tranlation.py.

- -

◆ indent_inc

+ +

◆ indent_inc

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.indent_incint parselib.transforms.verilog_tranlation.VerilogTranslationPass.indent_inc = 2
-

Definition at line 20 of file verilog_tranlation.py.

+

Definition at line 34 of file verilog_tranlation.py.

@@ -3094,28 +3483,76 @@

- + + +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.indent_stackparselib.transforms.verilog_tranlation.VerilogTranslationPass.indent_stack = list()
+
+ + +

◆ is_in_gen_block [1/2]

+ +
+
+ + + + +
bool parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_gen_block = False
+
+ +

Definition at line 45 of file verilog_tranlation.py.

+ +
+
+ +

◆ is_in_gen_block [2/2]

+ +
+
+ + + + +
list parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_gen_block = []
+
+ +

Definition at line 621 of file verilog_tranlation.py.

+ +
+
+ +

◆ is_in_thread

+ +
+
+ + +
bool parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_thread = False
-

Definition at line 21 of file verilog_tranlation.py.

+

Definition at line 42 of file verilog_tranlation.py.

- -

◆ is_in_thread

+ +

◆ itf_meta

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_threadparselib.transforms.verilog_tranlation.VerilogTranslationPass.itf_meta = itf_meta
-

Definition at line 28 of file verilog_tranlation.py.

+

Definition at line 46 of file verilog_tranlation.py.

@@ -3126,12 +3563,12 @@

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.local_variablesparselib.transforms.verilog_tranlation.VerilogTranslationPass.local_variables = set()
@@ -3142,44 +3579,92 @@

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.module_var_typeparselib.transforms.verilog_tranlation.VerilogTranslationPass.module_var_type = None
- -

◆ senselist

+ +

◆ non_thread_comb_signals [1/2]

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselistparselib.transforms.verilog_tranlation.VerilogTranslationPass.non_thread_comb_signals = set()
-

Definition at line 534 of file verilog_tranlation.py.

+

Definition at line 44 of file verilog_tranlation.py.

+ +
+
+ +

◆ non_thread_comb_signals [2/2]

+ +
+
+ + + + +
str parselib.transforms.verilog_tranlation.VerilogTranslationPass.non_thread_comb_signals = ''
+
+ +

Definition at line 248 of file verilog_tranlation.py.

+ +
+
+ +

◆ senselist [1/2]

+ +
+
+ + + + +
dict parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselist
+
+ +

Definition at line 665 of file verilog_tranlation.py.

+ +
+
+ +

◆ senselist [2/2]

+ +
+
+ + + + +
list parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselist = []
+
+ +

Definition at line 1290 of file verilog_tranlation.py.

- -

◆ thread_comb

+ +

◆ thread_comb

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.thread_combbool parselib.transforms.verilog_tranlation.VerilogTranslationPass.thread_comb = False
-

Definition at line 29 of file verilog_tranlation.py.

+

Definition at line 43 of file verilog_tranlation.py.

@@ -3190,12 +3675,12 @@

- +
parselib.transforms.verilog_tranlation.VerilogTranslationPass.thread_nameparselib.transforms.verilog_tranlation.VerilogTranslationPass.thread_name = tree.children[0]
@@ -3205,7 +3690,8 @@

+ diff --git a/classparselib_1_1utils_1_1ContextManager-members.html b/classparselib_1_1utils_1_1ContextManager-members.html new file mode 100644 index 00000000..22c8c21e --- /dev/null +++ b/classparselib_1_1utils_1_1ContextManager-members.html @@ -0,0 +1,113 @@ + + + + + + + +systemc-clang: Member List + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
parselib.utils.ContextManager Member List
+
+ + + +
+ + diff --git a/classparselib_1_1utils_1_1ContextManager.html b/classparselib_1_1utils_1_1ContextManager.html new file mode 100644 index 00000000..e73888fb --- /dev/null +++ b/classparselib_1_1utils_1_1ContextManager.html @@ -0,0 +1,342 @@ + + + + + + + +systemc-clang: parselib.utils.ContextManager Class Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.utils.ContextManager Class Reference
+
+
+
+Inheritance diagram for parselib.utils.ContextManager:
+
+
Inheritance graph
+ + + + + +
[legend]
+
+Collaboration diagram for parselib.utils.ContextManager:
+
+
Collaboration graph
+ + + + + +
[legend]
+ + + + + + + + + + + + + + +

+Public Member Functions

 __init__ (self)
 
 __getattr__ (self, key)
 
 search_key_in_outer_context (self, key)
 
 add_values (self, **kwargs)
 
 __enter__ (self)
 
 __exit__ (self, type, value, traceback)
 
+ + + +

+Public Attributes

list stack = []
 
+ + + +

+Private Attributes

 __dict__
 
+

Detailed Description

+
+

Definition at line 169 of file utils.py.

+

Constructor & Destructor Documentation

+ +

◆ __init__()

+ +
+
+ + + + + + + +
parselib.utils.ContextManager.__init__ ( self)
+
+ +

Definition at line 170 of file utils.py.

+ +
+
+

Member Function Documentation

+ +

◆ __enter__()

+ +
+
+ + + + + + + +
parselib.utils.ContextManager.__enter__ ( self)
+
+ +

Definition at line 194 of file utils.py.

+ +
+
+ +

◆ __exit__()

+ +
+
+ + + + + + + + + + + + + + + + + + + + + +
parselib.utils.ContextManager.__exit__ ( self,
type,
value,
traceback )
+
+ +

Definition at line 197 of file utils.py.

+ +
+
+ +

◆ __getattr__()

+ +
+
+ + + + + + + + + + + +
parselib.utils.ContextManager.__getattr__ ( self,
key )
+
+ +

Definition at line 173 of file utils.py.

+ +
+
+ +

◆ add_values()

+ +
+
+ + + + + + + + + + + +
parselib.utils.ContextManager.add_values ( self,
** kwargs )
+
+ +

Definition at line 190 of file utils.py.

+ +
+
+ +

◆ search_key_in_outer_context()

+ +
+
+ + + + + + + + + + + +
parselib.utils.ContextManager.search_key_in_outer_context ( self,
key )
+
+ +

Definition at line 181 of file utils.py.

+ +
+
+

Member Data Documentation

+ +

◆ __dict__

+ +
+
+ + + + + +
+ + + + +
parselib.utils.ContextManager.__dict__
+
+private
+
+ +

Definition at line 174 of file utils.py.

+ +
+
+ +

◆ stack

+ +
+
+ + + + +
parselib.utils.ContextManager.stack = []
+
+ +

Definition at line 171 of file utils.py.

+ +
+
+
The documentation for this class was generated from the following file: +
+ + +
+ + diff --git a/classparselib_1_1utils_1_1ContextManager__coll__graph.map b/classparselib_1_1utils_1_1ContextManager__coll__graph.map new file mode 100644 index 00000000..c30df009 --- /dev/null +++ b/classparselib_1_1utils_1_1ContextManager__coll__graph.map @@ -0,0 +1,5 @@ + + + + + diff --git a/classparselib_1_1utils_1_1ContextManager__coll__graph.md5 b/classparselib_1_1utils_1_1ContextManager__coll__graph.md5 new file mode 100644 index 00000000..be95d235 --- /dev/null +++ b/classparselib_1_1utils_1_1ContextManager__coll__graph.md5 @@ -0,0 +1 @@ +77c819c0f95b206afc40ec135a05feae \ No newline at end of file diff --git a/classparselib_1_1utils_1_1ContextManager__coll__graph.png b/classparselib_1_1utils_1_1ContextManager__coll__graph.png new file mode 100644 index 00000000..1ee835ba Binary files /dev/null and b/classparselib_1_1utils_1_1ContextManager__coll__graph.png differ diff --git a/classparselib_1_1utils_1_1ContextManager__inherit__graph.map b/classparselib_1_1utils_1_1ContextManager__inherit__graph.map new file mode 100644 index 00000000..c30df009 --- /dev/null +++ b/classparselib_1_1utils_1_1ContextManager__inherit__graph.map @@ -0,0 +1,5 @@ + + + + + diff --git a/classparselib_1_1utils_1_1ContextManager__inherit__graph.md5 b/classparselib_1_1utils_1_1ContextManager__inherit__graph.md5 new file mode 100644 index 00000000..be95d235 --- /dev/null +++ b/classparselib_1_1utils_1_1ContextManager__inherit__graph.md5 @@ -0,0 +1 @@ +77c819c0f95b206afc40ec135a05feae \ No newline at end of file diff --git a/classparselib_1_1utils_1_1ContextManager__inherit__graph.png b/classparselib_1_1utils_1_1ContextManager__inherit__graph.png new file mode 100644 index 00000000..1ee835ba Binary files /dev/null and b/classparselib_1_1utils_1_1ContextManager__inherit__graph.png differ diff --git a/classsc__ast__matchers_1_1CallerCalleeMatcher-members.html b/classsc__ast__matchers_1_1CallerCalleeMatcher-members.html index 0cab3e09..5ac9aaad 100644 --- a/classsc__ast__matchers_1_1CallerCalleeMatcher-members.html +++ b/classsc__ast__matchers_1_1CallerCalleeMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
sc_ast_matchers::CallerCalleeMatcher Member List
@@ -82,7 +95,7 @@

This is the complete list of members for sc_ast_matchers::CallerCalleeMatcher, including all inherited members.

- + @@ -92,7 +105,8 @@
CallerCalleeType typedefsc_ast_matchers::CallerCalleeMatcherprivate
CallerCalleeType typedefsc_ast_matchers::CallerCalleeMatcherprivate
calls_sc_ast_matchers::CallerCalleeMatcherprivate
dump()sc_ast_matchers::CallerCalleeMatcherinline
getCallerCallee() constsc_ast_matchers::CallerCalleeMatcherinline
+ diff --git a/classsc__ast__matchers_1_1CallerCalleeMatcher.html b/classsc__ast__matchers_1_1CallerCalleeMatcher.html index 7fc23b0e..5dcf56eb 100644 --- a/classsc__ast__matchers_1_1CallerCalleeMatcher.html +++ b/classsc__ast__matchers_1_1CallerCalleeMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::CallerCalleeMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -109,7 +122,7 @@ - + @@ -124,8 +137,8 @@

Public Member Functions

CallerCalleeType getCallerCallee () const
CallerCalleeType getCallerCallee () const
 This returns a list of all the caller and callees that are identified.
 
void registerMatchers (MatchFinder &finder, clang::VarDecl *vd)
- - + +

Private Types

typedef std::vector< std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > > CallerCalleeType
 
typedef std::vector< std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > > CallerCalleeType
 
@@ -141,8 +154,8 @@

Definition at line 42 of file SensitivityMatcher.h.

Member Typedef Documentation

- -

◆ CallerCalleeType

+ +

◆ CallerCalleeType

@@ -151,7 +164,7 @@

Private Attributes

- +
typedef std::vector< std::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt*> > sc_ast_matchers::CallerCalleeMatcher::CallerCalleeTypestd::vector< std::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt*> > sc_ast_matchers::CallerCalleeMatcher::CallerCalleeType
@@ -183,7 +196,7 @@

void sc_ast_matchers::CallerCalleeMatcher::dump ( - ) + ) @@ -210,9 +223,9 @@

- + - +
CallerCalleeType sc_ast_matchers::CallerCalleeMatcher::getCallerCallee CallerCalleeType sc_ast_matchers::CallerCalleeMatcher::getCallerCallee ()) const
@@ -241,7 +254,7 @@

void sc_ast_matchers::CallerCalleeMatcher::registerMatchers ( - MatchFinder & finder, + MatchFinder & finder, @@ -350,7 +363,8 @@

+

diff --git a/classsc__ast__matchers_1_1FindMemberFieldMatcher-members.html b/classsc__ast__matchers_1_1FindMemberFieldMatcher-members.html index 85a09f6d..e5e1c1ff 100644 --- a/classsc__ast__matchers_1_1FindMemberFieldMatcher-members.html +++ b/classsc__ast__matchers_1_1FindMemberFieldMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
sc_ast_matchers::FindMemberFieldMatcher Member List
@@ -90,7 +103,8 @@
+ diff --git a/classsc__ast__matchers_1_1FindMemberFieldMatcher.html b/classsc__ast__matchers_1_1FindMemberFieldMatcher.html index c309c947..290be2aa 100644 --- a/classsc__ast__matchers_1_1FindMemberFieldMatcher.html +++ b/classsc__ast__matchers_1_1FindMemberFieldMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::FindMemberFieldMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsc__ast__matchers_1_1InstanceArgumentMatcher-members.html b/classsc__ast__matchers_1_1InstanceArgumentMatcher-members.html index 8cacd056..b58093b4 100644 --- a/classsc__ast__matchers_1_1InstanceArgumentMatcher-members.html +++ b/classsc__ast__matchers_1_1InstanceArgumentMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
sc_ast_matchers::InstanceArgumentMatcher Member List
@@ -90,7 +103,8 @@
+ diff --git a/classsc__ast__matchers_1_1InstanceArgumentMatcher.html b/classsc__ast__matchers_1_1InstanceArgumentMatcher.html index 2901513a..3cfc475d 100644 --- a/classsc__ast__matchers_1_1InstanceArgumentMatcher.html +++ b/classsc__ast__matchers_1_1InstanceArgumentMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::InstanceArgumentMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsc__ast__matchers_1_1InstanceMatcher-members.html b/classsc__ast__matchers_1_1InstanceMatcher-members.html index 6b0ef17a..8b0e5a69 100644 --- a/classsc__ast__matchers_1_1InstanceMatcher-members.html +++ b/classsc__ast__matchers_1_1InstanceMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
sc_ast_matchers::InstanceMatcher Member List
@@ -86,12 +99,12 @@ findInstanceByVariableType(clang::CXXRecordDecl *decl, std::vector< InstanceDeclType > &found_instances)sc_ast_matchers::InstanceMatcherinline getInstanceMap()sc_ast_matchers::InstanceMatcherinline instance_map_sc_ast_matchers::InstanceMatcherprivate - InstanceDeclarations typedefsc_ast_matchers::InstanceMatcher - InstanceDeclarationsType typedefsc_ast_matchers::InstanceMatcher - InstanceDeclType typedefsc_ast_matchers::InstanceMatcher + InstanceDeclarations typedefsc_ast_matchers::InstanceMatcher + InstanceDeclarationsType typedefsc_ast_matchers::InstanceMatcher + InstanceDeclType typedefsc_ast_matchers::InstanceMatcher match_ctor_arg(const std::string &bind_arg_name, const std::string &bind_ctor_expr)sc_ast_matchers::InstanceMatcherinline match_is_derived_sc_module(const std::string &bind_name)sc_ast_matchers::InstanceMatcherinline - ModuleInstanceTuple typedefsc_ast_matchers::InstanceMatcher + ModuleInstanceTuple typedefsc_ast_matchers::InstanceMatcher operator=(const InstanceMatcher &from)sc_ast_matchers::InstanceMatcherinline parent_fd_sc_ast_matchers::InstanceMatcherprivate parseFieldDecl(clang::FieldDecl *instance_decl, clang::ValueDecl *parent_decl, std::string instance_name, IndexMapType &index_map)sc_ast_matchers::InstanceMatcherinline @@ -102,7 +115,8 @@
+ diff --git a/classsc__ast__matchers_1_1InstanceMatcher.html b/classsc__ast__matchers_1_1InstanceMatcher.html index 9cac8932..49edb669 100644 --- a/classsc__ast__matchers_1_1InstanceMatcher.html +++ b/classsc__ast__matchers_1_1InstanceMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::InstanceMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Types | @@ -112,24 +125,24 @@ - - - - - - - - + + + + + + + +

Public Types

typedef std::tuple< std::string, clang::Decl *, ModuleInstanceTypeInstanceDeclType
 
typedef std::vector< InstanceDeclTypeInstanceDeclarationsType
 
typedef std::pair< clang::Decl *, ModuleInstanceTypeModuleInstanceTuple
 
typedef std::map< clang::Decl *, ModuleInstanceTypeInstanceDeclarations
 
typedef std::tuple< std::string, clang::Decl *, ModuleInstanceTypeInstanceDeclType
 
typedef std::vector< InstanceDeclTypeInstanceDeclarationsType
 
typedef std::pair< clang::Decl *, ModuleInstanceTypeModuleInstanceTuple
 
typedef std::map< clang::Decl *, ModuleInstanceTypeInstanceDeclarations
 
- + - + @@ -148,7 +161,7 @@

Public Member Functions

InstanceMatcheroperator= (const InstanceMatcher &from)
 
const InstanceDeclarationsgetInstanceMap ()
const InstanceDeclarationsgetInstanceMap ()
 
void setParentFieldDecl (clang::ValueDecl *parent_fd)
 
bool findInstanceByVariableType (clang::CXXRecordDecl *decl, std::vector< InstanceDeclType > &found_instances)
bool findInstanceByVariableType (clang::CXXRecordDecl *decl, std::vector< InstanceDeclType > &found_instances)
 
auto match_ctor_arg (const std::string &bind_arg_name, const std::string &bind_ctor_expr)
 
- + @@ -159,14 +172,14 @@

Definition at line 70 of file InstanceMatcher.h.

Member Typedef Documentation

- -

◆ InstanceDeclarations

+ +

◆ InstanceDeclarations

Private Attributes

InstanceDeclarations instance_map_
InstanceDeclarations instance_map_
 deprecated
 
clang::ValueDecl * parent_fd_
- +
typedef std::map<clang::Decl *, ModuleInstanceType> sc_ast_matchers::InstanceMatcher::InstanceDeclarationsstd::map<clang::Decl *, ModuleInstanceType> sc_ast_matchers::InstanceMatcher::InstanceDeclarations
@@ -175,14 +188,14 @@

-

◆ InstanceDeclarationsType

+ +

◆ InstanceDeclarationsType

@@ -191,14 +204,14 @@

-

◆ InstanceDeclType

+ +

◆ InstanceDeclType

- +
typedef std::tuple<std::string, clang::Decl *, ModuleInstanceType> sc_ast_matchers::InstanceMatcher::InstanceDeclTypestd::tuple<std::string, clang::Decl *, ModuleInstanceType> sc_ast_matchers::InstanceMatcher::InstanceDeclType
@@ -207,14 +220,14 @@

-

◆ ModuleInstanceTuple

+ +

◆ ModuleInstanceTuple

diff --git a/classsc__ast__matchers_1_1ModuleDeclarationMatcher-members.html b/classsc__ast__matchers_1_1ModuleDeclarationMatcher-members.html index ad6a4537..a9bfc715 100644 --- a/classsc__ast__matchers_1_1ModuleDeclarationMatcher-members.html +++ b/classsc__ast__matchers_1_1ModuleDeclarationMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsc__ast__matchers_1_1ModuleDeclarationMatcher.html b/classsc__ast__matchers_1_1ModuleDeclarationMatcher.html index e176d364..5f3716fe 100644 --- a/classsc__ast__matchers_1_1ModuleDeclarationMatcher.html +++ b/classsc__ast__matchers_1_1ModuleDeclarationMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::ModuleDeclarationMatcher Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -105,9 +118,9 @@
Collaboration graph
- + - + @@ -115,13 +128,13 @@ - - - - - - - + + + + + + +

Public Types

typedef std::vector< InstanceMatcher::InstanceDeclTypeInstanceListType
 
typedef std::pair< clang::CXXRecordDecl *, ModuleInstance * > ModulePairType
 This will store all the modules as ModuleDecl.
 
typedef std::multimap< clang::CXXRecordDecl *, ModuleInstance * > ModuleMapType
 
typedef std::vector< InstanceMatcher::InstanceDeclTypeInstanceListType
 
typedef std::pair< clang::CXXRecordDecl *, ModuleInstance * > ModulePairType
 This will store all the modules as ModuleDecl.
 
typedef std::multimap< clang::CXXRecordDecl *, ModuleInstance * > ModuleMapType
 
@@ -132,7 +145,7 @@ - + @@ -147,7 +160,7 @@

Public Member Functions

 
virtual void run (const MatchFinder::MatchResult &result)
 
const ModuleMapTypegetFoundModuleDeclarations () const
const ModuleMapTypegetFoundModuleDeclarations () const
 
void runPortMatcher (ASTContext &context, const clang::CXXRecordDecl *decl, ModuleInstance *add_module)
 
- + @@ -157,14 +170,14 @@

Definition at line 40 of file Matchers.h.

Member Typedef Documentation

- -

◆ InstanceListType

+ +

◆ InstanceListType

Private Attributes

ModuleMapType modules_
ModuleMapType modules_
 
InstanceMatcher instance_matcher_
 
- +
typedef std::vector<InstanceMatcher::InstanceDeclType> sc_ast_matchers::ModuleDeclarationMatcher::InstanceListTypestd::vector<InstanceMatcher::InstanceDeclType> sc_ast_matchers::ModuleDeclarationMatcher::InstanceListType
@@ -173,14 +186,14 @@

-

◆ ModuleMapType

+ +

◆ ModuleMapType

- +
typedef std::multimap<clang::CXXRecordDecl *, ModuleInstance *> sc_ast_matchers::ModuleDeclarationMatcher::ModuleMapTypestd::multimap<clang::CXXRecordDecl *, ModuleInstance *> sc_ast_matchers::ModuleDeclarationMatcher::ModuleMapType
@@ -189,14 +202,14 @@

-

◆ ModulePairType

+ +

◆ ModulePairType

diff --git a/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.map b/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.map index c619266a..145479bd 100644 --- a/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.map +++ b/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.map @@ -1,8 +1,8 @@ - + - + diff --git a/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.png b/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.png index f91f24d5..4f3e1276 100644 Binary files a/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.png and b/classsc__ast__matchers_1_1ModuleDeclarationMatcher__coll__graph.png differ diff --git a/classsc__ast__matchers_1_1NetlistMatcher-members.html b/classsc__ast__matchers_1_1NetlistMatcher-members.html index 9f29a480..0782dac7 100644 --- a/classsc__ast__matchers_1_1NetlistMatcher-members.html +++ b/classsc__ast__matchers_1_1NetlistMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
sc_ast_matchers::NetlistMatcher Member List
@@ -93,7 +106,8 @@
+
diff --git a/classsc__ast__matchers_1_1NetlistMatcher.html b/classsc__ast__matchers_1_1NetlistMatcher.html index 80336ec6..e4cf37c8 100644 --- a/classsc__ast__matchers_1_1NetlistMatcher.html +++ b/classsc__ast__matchers_1_1NetlistMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::NetlistMatcher Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
diff --git a/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.map b/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.map index 925c0b0b..d6810a34 100644 --- a/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.map +++ b/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.map @@ -1,7 +1,7 @@ - + @@ -13,6 +13,6 @@ - + diff --git a/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.png b/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.png index b1b17915..7f61066a 100644 Binary files a/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.png and b/classsc__ast__matchers_1_1NetlistMatcher__coll__graph.png differ diff --git a/classsc__ast__matchers_1_1PortMatcher-members.html b/classsc__ast__matchers_1_1PortMatcher-members.html index 5635963d..0c8b304b 100644 --- a/classsc__ast__matchers_1_1PortMatcher-members.html +++ b/classsc__ast__matchers_1_1PortMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +

diff --git a/classsc__ast__matchers_1_1PortMatcher.html b/classsc__ast__matchers_1_1PortMatcher.html index f31e7d34..dade2169 100644 --- a/classsc__ast__matchers_1_1PortMatcher.html +++ b/classsc__ast__matchers_1_1PortMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::PortMatcher Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Types | @@ -112,30 +125,30 @@ - - + +

Public Types

typedef std::vector< std::tuple< std::string, PortDecl * > > MemberDeclType
 
typedef std::vector< std::tuple< std::string, PortDecl * > > MemberDeclType
 
- + - + - + - + - + - + - + - + - + - + @@ -165,13 +178,13 @@ - + - + @@ -182,26 +195,26 @@

Public Member Functions

const MemberDeclTypegetClockPorts () const
const MemberDeclTypegetClockPorts () const
 
const MemberDeclTypegetInputPorts () const
const MemberDeclTypegetInputPorts () const
 
const MemberDeclTypegetOutputPorts () const
const MemberDeclTypegetOutputPorts () const
 
const MemberDeclTypegetInOutPorts () const
const MemberDeclTypegetInOutPorts () const
 
const MemberDeclTypegetOtherVars () const
const MemberDeclTypegetOtherVars () const
 
const MemberDeclTypegetSignals () const
const MemberDeclTypegetSignals () const
 
const MemberDeclTypegetSubmodules () const
const MemberDeclTypegetSubmodules () const
 
const MemberDeclTypegetInputStreamPorts () const
const MemberDeclTypegetInputStreamPorts () const
 
const MemberDeclTypegetOutputStreamPorts () const
const MemberDeclTypegetOutputStreamPorts () const
 
const MemberDeclTypegetPorts () const
const MemberDeclTypegetPorts () const
 Returns the identified ports.
 
 PortMatcher ()
template<typename NodeType >
auto checkMatch (const std::string &name, const MatchFinder::MatchResult &result)
 
void printTemplateArguments (MemberDeclType &found_ports)
void printTemplateArguments (MemberDeclType &found_ports)
 
template<typename T >
auto parseTemplateType (const T *fd)
 
template<typename T >
void insert_port (MemberDeclType &port, T *decl, bool isFieldDecl=true)
void insert_port (MemberDeclType &port, T *decl, bool isFieldDecl=true)
 
void registerMatchers (MatchFinder &finder)
 
- + - + - + - + - + - + - + - + - + - +

Public Attributes

MemberDeclType clock_ports_
MemberDeclType clock_ports_
 Separate out the member declarations found within a SystemC module.
 
MemberDeclType in_ports_
MemberDeclType in_ports_
 
MemberDeclType out_ports_
MemberDeclType out_ports_
 
MemberDeclType inout_ports_
MemberDeclType inout_ports_
 
MemberDeclType other_fields_
MemberDeclType other_fields_
 
MemberDeclType signal_fields_
MemberDeclType signal_fields_
 
MemberDeclType instream_ports_
MemberDeclType instream_ports_
 
MemberDeclType outstream_ports_
MemberDeclType outstream_ports_
 
MemberDeclType sc_ports_
MemberDeclType sc_ports_
 
MemberDeclType submodules_
MemberDeclType submodules_
 Store the declaration of submodules.
 
@@ -211,14 +224,14 @@

Definition at line 43 of file PortMatcher.h.

Member Typedef Documentation

- -

◆ MemberDeclType

+ +

◆ MemberDeclType

- +
typedef std::vector<std::tuple<std::string, PortDecl *> > sc_ast_matchers::PortMatcher::MemberDeclTypestd::vector<std::tuple<std::string, PortDecl *> > sc_ast_matchers::PortMatcher::MemberDeclType
@@ -241,7 +254,7 @@

sc_ast_matchers::PortMatcher::PortMatcher ( - ) + ) @@ -273,7 +286,7 @@

auto sc_ast_matchers::PortMatcher::checkMatch ( - const std::string & name, + const std::string & name, @@ -304,7 +317,7 @@

void sc_ast_matchers::PortMatcher::dump ( - ) + ) @@ -329,9 +342,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getClockPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getClockPorts ()) const
@@ -357,9 +370,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getInOutPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getInOutPorts ()) const
@@ -385,9 +398,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getInputPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getInputPorts ()) const
@@ -413,9 +426,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getInputStreamPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getInputStreamPorts ()) const
@@ -441,9 +454,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getOtherVars const MemberDeclType & sc_ast_matchers::PortMatcher::getOtherVars ()) const
@@ -469,9 +482,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getOutputPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getOutputPorts ()) const
@@ -497,9 +510,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getOutputStreamPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getOutputStreamPorts ()) const
@@ -525,9 +538,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getPorts const MemberDeclType & sc_ast_matchers::PortMatcher::getPorts ()) const
@@ -554,9 +567,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getSignals const MemberDeclType & sc_ast_matchers::PortMatcher::getSignals ()) const
@@ -582,9 +595,9 @@

- + - +
const MemberDeclType & sc_ast_matchers::PortMatcher::getSubmodules const MemberDeclType & sc_ast_matchers::PortMatcher::getSubmodules ()) const
@@ -614,17 +627,17 @@

void sc_ast_matchers::PortMatcher::insert_port ( - MemberDeclType & port, + MemberDeclType & port, - T * decl, + T * decl, - bool isFieldDecl = true ) + bool isFieldDecl = true ) @@ -742,7 +755,7 @@

auto sc_ast_matchers::PortMatcher::makeMemberIsSubModule ( - ) + ) @@ -955,7 +968,7 @@

void sc_ast_matchers::PortMatcher::printTemplateArguments ( - MemberDeclType & found_ports) + MemberDeclType & found_ports) @@ -1065,7 +1078,7 @@

- +
MemberDeclType sc_ast_matchers::PortMatcher::clock_ports_MemberDeclType sc_ast_matchers::PortMatcher::clock_ports_

@@ -1228,7 +1241,8 @@

+

diff --git a/classsc__ast__matchers_1_1ResetMatcher-members.html b/classsc__ast__matchers_1_1ResetMatcher-members.html index 21c606fb..2f08abb4 100644 --- a/classsc__ast__matchers_1_1ResetMatcher-members.html +++ b/classsc__ast__matchers_1_1ResetMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
sc_ast_matchers::ResetMatcher Member List
@@ -94,7 +107,8 @@
+
diff --git a/classsc__ast__matchers_1_1ResetMatcher.html b/classsc__ast__matchers_1_1ResetMatcher.html index b1a50050..edb5ef0a 100644 --- a/classsc__ast__matchers_1_1ResetMatcher.html +++ b/classsc__ast__matchers_1_1ResetMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::ResetMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher-members.html b/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher-members.html index 25dbc9c2..270d0219 100644 --- a/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher-members.html +++ b/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
sc_ast_matchers::SensitiveOperatorCallMatcher Member List
@@ -93,7 +106,8 @@
+ diff --git a/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html b/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html index ab47f2be..2bab0caf 100644 --- a/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html +++ b/classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::SensitiveOperatorCallMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsc__ast__matchers_1_1SensitivityMatcher-members.html b/classsc__ast__matchers_1_1SensitivityMatcher-members.html index f270f935..160b96d0 100644 --- a/classsc__ast__matchers_1_1SensitivityMatcher-members.html +++ b/classsc__ast__matchers_1_1SensitivityMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/classsc__ast__matchers_1_1SensitivityMatcher.html b/classsc__ast__matchers_1_1SensitivityMatcher.html index f05cf01f..41a489b4 100644 --- a/classsc__ast__matchers_1_1SensitivityMatcher.html +++ b/classsc__ast__matchers_1_1SensitivityMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::SensitivityMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Types | @@ -113,18 +126,18 @@ - - - - - - - - + + + + + + + +

Public Types

typedef std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
 
typedef std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
 This is the pair for inserting key-value entries in the map.
 
typedef std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
 The key is going to be the name of the FieldDecl/VarDecl.
 
typedef std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
 
typedef std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
 This is the pair for inserting key-value entries in the map.
 
typedef std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
 The key is going to be the name of the FieldDecl/VarDecl.
 
- + @@ -139,13 +152,13 @@

Public Member Functions

SenseMapType getSensitivityMap ()
SenseMapType getSensitivityMap ()
 Return the sensitivity map that has been created.
 
void registerMatchers (MatchFinder &finder)
- +

Private Member Functions

std::string generateSensitivityName (const std::vector< SensitivityTupleType > &sense_args)
std::string generateSensitivityName (const std::vector< SensitivityTupleType > &sense_args)
 This generates an encoded name of the argument for the sensitivity.
 
- + @@ -157,14 +170,14 @@

Definition at line 211 of file SensitivityMatcher.h.

Member Typedef Documentation

- -

◆ SenseMapType

+ +

◆ SenseMapType

Private Attributes

SenseMapType sensitivity_
SenseMapType sensitivity_
 This is the map structure to store the identified sensitivity list.
 
clang::VarDecl * process_handle_
- +
typedef std::map<std::string, std::vector<SensitivityTupleType> > sc_ast_matchers::SensitivityMatcher::SenseMapTypestd::map<std::string, std::vector<SensitivityTupleType> > sc_ast_matchers::SensitivityMatcher::SenseMapType
@@ -175,14 +188,14 @@

-

◆ SensitivityPairType

+ +

◆ SensitivityPairType

@@ -193,14 +206,14 @@

-

◆ SensitivityTupleType

+ +

◆ SensitivityTupleType

- +
typedef std::tuple<std::string, clang::ValueDecl*, clang::MemberExpr*, clang::VarDecl*, clang::ArraySubscriptExpr*, clang::ForStmt*> sc_ast_matchers::SensitivityMatcher::SensitivityTupleTypestd::tuple<std::string, clang::ValueDecl*, clang::MemberExpr*, clang::VarDecl*, clang::ArraySubscriptExpr*, clang::ForStmt*> sc_ast_matchers::SensitivityMatcher::SensitivityTupleType
diff --git a/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher-members.html b/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher-members.html index 4b41d0bb..368fde82 100644 --- a/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher-members.html +++ b/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
sc_ast_matchers::utils::NamespaceMatcher Member List
@@ -92,7 +105,8 @@
+
diff --git a/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html b/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html index 739e4fa1..8bed4a16 100644 --- a/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html +++ b/classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::utils::NamespaceMatcher Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
diff --git a/classsystemc-clang_1_1InvalidCommandlineFormat.html b/classsystemc-clang_1_1InvalidCommandlineFormat.html index ad0b6b9a..e3146ab1 100644 --- a/classsystemc-clang_1_1InvalidCommandlineFormat.html +++ b/classsystemc-clang_1_1InvalidCommandlineFormat.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang.InvalidCommandlineFormat Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
systemc-clang.InvalidCommandlineFormat Class Reference
@@ -108,7 +121,8 @@
+
diff --git a/classsystemc-clang_1_1MissingSystemCClang.html b/classsystemc-clang_1_1MissingSystemCClang.html index d19e1b50..ffa29950 100644 --- a/classsystemc-clang_1_1MissingSystemCClang.html +++ b/classsystemc-clang_1_1MissingSystemCClang.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang.MissingSystemCClang Class Reference + + @@ -32,23 +34,33 @@ - + + + +
systemc-clang.MissingSystemCClang Class Reference
@@ -108,7 +121,8 @@
+ diff --git a/classsystemc-clang_1_1SystemCClang-members.html b/classsystemc-clang_1_1SystemCClang-members.html index 602f1573..4507f7c4 100644 --- a/classsystemc-clang_1_1SystemCClang-members.html +++ b/classsystemc-clang_1_1SystemCClang-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
systemc-clang.SystemCClang Member List
@@ -103,7 +116,8 @@
+ diff --git a/classsystemc-clang_1_1SystemCClang.html b/classsystemc-clang_1_1SystemCClang.html index f363f5da..be7ce56b 100644 --- a/classsystemc-clang_1_1SystemCClang.html +++ b/classsystemc-clang_1_1SystemCClang.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang.SystemCClang Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -117,11 +130,11 @@ - + - + - +

Protected Attributes

 _systemc_clang_build_dir
 _systemc_clang_build_dir = systemc_clang_build_dir
 
 _llvm_install_path
 _llvm_install_path = llvm_install_path
 
 _systemc_path
 _systemc_path = systemc_path
 
- + - + - + - +

@@ -151,22 +164,22 @@

systemc-clang.SystemCClang.__init__

( self, self,
systemc_clang_build_dir = None, systemc_clang_build_dir = None,
llvm_install_path = None, llvm_install_path = None,
systemc_path = None ) systemc_path = None )
diff --git a/classsystemc-clang_1_1SystemCClangFatalError.html b/classsystemc-clang_1_1SystemCClangFatalError.html index ab950427..f786d3b2 100644 --- a/classsystemc-clang_1_1SystemCClangFatalError.html +++ b/classsystemc-clang_1_1SystemCClangFatalError.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang.SystemCClangFatalError Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
systemc-clang.SystemCClangFatalError Class Reference
@@ -108,7 +121,8 @@
+ diff --git a/classsystemc-clang_1_1UnconfiguredEnvironment-members.html b/classsystemc-clang_1_1UnconfiguredEnvironment-members.html index a98ef065..f1f439ad 100644 --- a/classsystemc-clang_1_1UnconfiguredEnvironment-members.html +++ b/classsystemc-clang_1_1UnconfiguredEnvironment-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
systemc-clang.UnconfiguredEnvironment Member List
@@ -86,7 +99,8 @@
+ diff --git a/classsystemc-clang_1_1UnconfiguredEnvironment.html b/classsystemc-clang_1_1UnconfiguredEnvironment.html index 56ce7ff4..95dfa937 100644 --- a/classsystemc-clang_1_1UnconfiguredEnvironment.html +++ b/classsystemc-clang_1_1UnconfiguredEnvironment.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang.UnconfiguredEnvironment Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsystemc__clang_1_1BreakMatcher-members.html b/classsystemc__clang_1_1BreakMatcher-members.html index 2282d07a..83b84fc2 100644 --- a/classsystemc__clang_1_1BreakMatcher-members.html +++ b/classsystemc__clang_1_1BreakMatcher-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::BreakMatcher Member List
@@ -92,7 +105,8 @@
+ diff --git a/classsystemc__clang_1_1BreakMatcher.html b/classsystemc__clang_1_1BreakMatcher.html index 42fa9a99..482ec35b 100644 --- a/classsystemc__clang_1_1BreakMatcher.html +++ b/classsystemc__clang_1_1BreakMatcher.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::BreakMatcher Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsystemc__clang_1_1Edge-members.html b/classsystemc__clang_1_1Edge-members.html index 85c63047..05f7b65a 100644 --- a/classsystemc__clang_1_1Edge-members.html +++ b/classsystemc__clang_1_1Edge-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/classsystemc__clang_1_1Edge.html b/classsystemc__clang_1_1Edge.html index 0f9a3ef3..af13e074 100644 --- a/classsystemc__clang_1_1Edge.html +++ b/classsystemc__clang_1_1Edge.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Edge Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Types | @@ -99,10 +112,10 @@ - - - - + + + +

Public Types

typedef pair< unsigned int, unsigned int > timePairType
 
typedef vector< timePairTypetimeAdvanceVectorType
 
typedef pair< unsigned int, unsigned int > timePairType
 
typedef vector< timePairTypetimeAdvanceVectorType
 
@@ -111,7 +124,7 @@ - + @@ -119,7 +132,7 @@ - + @@ -128,7 +141,7 @@ Protected Attributes - + @@ -139,14 +152,14 @@

Definition at line 42 of file Automata.h.

Member Typedef Documentation

- -

◆ timeAdvanceVectorType

+ +

◆ timeAdvanceVectorType

Public Member Functions

 
 Edge (Node *, Node *, int)
 
void updateSuspensionTime (timePairType)
void updateSuspensionTime (timePairType)
 
int getId ()
 
 
int getFromId ()
 
timeAdvanceVectorType getTimeAdvanceVector ()
timeAdvanceVectorType getTimeAdvanceVector ()
 
void dump (raw_ostream &, int)
 
int _id
 
timeAdvanceVectorType _timeAdvanceVector
timeAdvanceVectorType _timeAdvanceVector
 
Node_from
 
- +
typedef vector<timePairType> systemc_clang::Edge::timeAdvanceVectorTypevector<timePairType> systemc_clang::Edge::timeAdvanceVectorType
@@ -155,14 +168,14 @@

-

◆ timePairType

+ +

◆ timePairType

- + + +

+
systemc_clang::EntryFunctionContainer Member List
@@ -113,29 +126,30 @@ getSusAuto()systemc_clang::EntryFunctionContainer getSusCFG()systemc_clang::EntryFunctionContainer getWaitCalls()systemc_clang::EntryFunctionContainer - instanceSautoMapType typedefsystemc_clang::EntryFunctionContainer - instanceSautoPairType typedefsystemc_clang::EntryFunctionContainer - instanceSusCFGMapType typedefsystemc_clang::EntryFunctionContainer - instanceSusCFGPairType typedefsystemc_clang::EntryFunctionContainer + instanceSautoMapType typedefsystemc_clang::EntryFunctionContainer + instanceSautoPairType typedefsystemc_clang::EntryFunctionContainer + instanceSusCFGMapType typedefsystemc_clang::EntryFunctionContainer + instanceSusCFGPairType typedefsystemc_clang::EntryFunctionContainer isResetAsync() constsystemc_clang::EntryFunctionContainer - notifyContainerListType typedefsystemc_clang::EntryFunctionContainer + notifyContainerListType typedefsystemc_clang::EntryFunctionContainer process_type_systemc_clang::EntryFunctionContainer reset_edge_systemc_clang::EntryFunctionContainer reset_signal_systemc_clang::EntryFunctionContainer reset_type_async_systemc_clang::EntryFunctionContainer senseMap_systemc_clang::EntryFunctionContainer - SenseMapType typedefsystemc_clang::EntryFunctionContainer - SensitivityPairType typedefsystemc_clang::EntryFunctionContainer - SensitivityTupleType typedefsystemc_clang::EntryFunctionContainer + SenseMapType typedefsystemc_clang::EntryFunctionContainer + SensitivityPairType typedefsystemc_clang::EntryFunctionContainer + SensitivityTupleType typedefsystemc_clang::EntryFunctionContainer setEntryMethod(clang::CXXMethodDecl *)systemc_clang::EntryFunctionContainer setName(std::string)systemc_clang::EntryFunctionContainer setProcessType(PROCESS_TYPE)systemc_clang::EntryFunctionContainer - waitContainerListType typedefsystemc_clang::EntryFunctionContainer + waitContainerListType typedefsystemc_clang::EntryFunctionContainer ~EntryFunctionContainer()systemc_clang::EntryFunctionContainervirtual
+
diff --git a/classsystemc__clang_1_1EntryFunctionContainer.html b/classsystemc__clang_1_1EntryFunctionContainer.html index e8c5f6ba..1ae158ba 100644 --- a/classsystemc__clang_1_1EntryFunctionContainer.html +++ b/classsystemc__clang_1_1EntryFunctionContainer.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::EntryFunctionContainer Class Reference + + @@ -32,23 +34,33 @@
- + + + +
Public Types | @@ -89,25 +102,25 @@ - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + +

Public Types

typedef std::vector< WaitContainer * > waitContainerListType
 typedefs
 
typedef std::vector< NotifyContainer * > notifyContainerListType
 
typedef std::pair< int, SuspensionAutomata::transitionVectorTypeinstanceSautoPairType
 
typedef std::map< int, SuspensionAutomata::transitionVectorTypeinstanceSautoMapType
 
typedef std::pair< int, SuspensionAutomata::susCFGVectorTypeinstanceSusCFGPairType
 
typedef std::map< int, SuspensionAutomata::susCFGVectorTypeinstanceSusCFGMapType
 
typedef std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
 
typedef std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
 
typedef std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
 
typedef std::vector< WaitContainer * > waitContainerListType
 typedefs
 
typedef std::vector< NotifyContainer * > notifyContainerListType
 
typedef std::pair< int, SuspensionAutomata::transitionVectorTypeinstanceSautoPairType
 
typedef std::map< int, SuspensionAutomata::transitionVectorTypeinstanceSautoMapType
 
typedef std::pair< int, SuspensionAutomata::susCFGVectorTypeinstanceSusCFGPairType
 
typedef std::map< int, SuspensionAutomata::susCFGVectorTypeinstanceSusCFGMapType
 
typedef std::tuple< std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl *, clang::ArraySubscriptExpr *, clang::ForStmt * > SensitivityTupleType
 
typedef std::pair< std::string, std::vector< SensitivityTupleType > > SensitivityPairType
 
typedef std::map< std::string, std::vector< SensitivityTupleType > > SenseMapType
 
@@ -126,21 +139,21 @@ - + - + - + - + - + - + - + - + @@ -181,11 +194,11 @@ - + - + - + @@ -203,14 +216,14 @@

Definition at line 65 of file EntryFunctionContainer.h.

Member Typedef Documentation

- -

◆ instanceSautoMapType

+ +

◆ instanceSautoMapType

Public Member Functions

 
PROCESS_TYPE getProcessType ()
 
waitContainerListType getWaitCalls ()
waitContainerListType getWaitCalls ()
 
notifyContainerListType getNotifyCalls ()
notifyContainerListType getNotifyCalls ()
 
SenseMapType getSenseMap ()
SenseMapType getSenseMap ()
 
SuspensionAutomata::susCFGVectorType getSusCFG ()
SuspensionAutomata::susCFGVectorType getSusCFG ()
 
SuspensionAutomata::transitionVectorType getSusAuto ()
SuspensionAutomata::transitionVectorType getSusAuto ()
 
instanceSautoMapType getInstanceSautoMap ()
instanceSautoMapType getInstanceSautoMap ()
 
instanceSusCFGMapType getInstanceSusCFGMap ()
instanceSusCFGMapType getInstanceSusCFGMap ()
 
void addSensitivityInfo (SenseMapType &)
void addSensitivityInfo (SenseMapType &)
 
void addWaits (FindWait &)
 
 
clang::CXXMethodDecl * entry_method_decl_
 
waitContainerListType _waitCalls
waitContainerListType _waitCalls
 
notifyContainerListType _notifyCalls
notifyContainerListType _notifyCalls
 
SenseMapType senseMap_
SenseMapType senseMap_
 
std::vector< Transition * > _susAuto
 
- +
typedef std::map<int, SuspensionAutomata::transitionVectorType> systemc_clang::EntryFunctionContainer::instanceSautoMapTypestd::map<int, SuspensionAutomata::transitionVectorType> systemc_clang::EntryFunctionContainer::instanceSautoMapType
@@ -219,14 +232,14 @@

-

◆ instanceSautoPairType

+ +

◆ instanceSautoPairType

@@ -235,14 +248,14 @@

-

◆ instanceSusCFGMapType

+ +

◆ instanceSusCFGMapType

@@ -251,14 +264,14 @@

-

◆ instanceSusCFGPairType

+ +

◆ instanceSusCFGPairType

@@ -267,14 +280,14 @@

-

◆ notifyContainerListType

+ +

◆ notifyContainerListType

@@ -283,14 +296,14 @@

-

◆ SenseMapType

+ +

◆ SenseMapType

@@ -299,14 +312,14 @@

-

◆ SensitivityPairType

+ +

◆ SensitivityPairType

@@ -315,14 +328,14 @@

-

◆ SensitivityTupleType

+ +

◆ SensitivityTupleType

- +
typedef std::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl*, clang::ArraySubscriptExpr *, clang::ForStmt *> systemc_clang::EntryFunctionContainer::SensitivityTupleTypestd::tuple<std::string, clang::ValueDecl *, clang::MemberExpr *, clang::VarDecl*, clang::ArraySubscriptExpr *, clang::ForStmt *> systemc_clang::EntryFunctionContainer::SensitivityTupleType
@@ -331,14 +344,14 @@

-

◆ waitContainerListType

+ +

◆ waitContainerListType

@@ -431,7 +444,7 @@

EntryFunctionContainer::~EntryFunctionContainer ( - ) + ) @@ -534,7 +547,7 @@

void EntryFunctionContainer::addSensitivityInfo ( - SenseMapType & sm) + SenseMapType & sm) @@ -591,7 +604,7 @@

void EntryFunctionContainer::dump ( - llvm::raw_ostream & os = llvm::dbgs()) + llvm::raw_ostream & os = llvm::dbgs()) @@ -610,7 +623,7 @@

void EntryFunctionContainer::dumpSauto ( - llvm::raw_ostream & ) + llvm::raw_ostream & ) @@ -629,7 +642,7 @@

void EntryFunctionContainer::dumpSusCFG ( - llvm::raw_ostream & ) + llvm::raw_ostream & ) @@ -648,7 +661,7 @@

clang::CXXMethodDecl * EntryFunctionContainer::getEntryMethod ( - ) + ) @@ -665,9 +678,9 @@

- + - +
instanceSautoMapType systemc_clang::EntryFunctionContainer::getInstanceSautoMap instanceSautoMapType systemc_clang::EntryFunctionContainer::getInstanceSautoMap ())
@@ -682,9 +695,9 @@

- + - +
instanceSusCFGMapType systemc_clang::EntryFunctionContainer::getInstanceSusCFGMap instanceSusCFGMapType systemc_clang::EntryFunctionContainer::getInstanceSusCFGMap ())
@@ -701,7 +714,7 @@

string EntryFunctionContainer::getName ( - ) + ) @@ -718,9 +731,9 @@

- + - +
EntryFunctionContainer::notifyContainerListType EntryFunctionContainer::getNotifyCalls EntryFunctionContainer::notifyContainerListType EntryFunctionContainer::getNotifyCalls ())
@@ -739,7 +752,7 @@

PROCESS_TYPE EntryFunctionContainer::getProcessType ( - ) + ) @@ -758,7 +771,7 @@

const std::pair< std::string, const clang::Expr * > EntryFunctionContainer::getResetEdge ( - ) + ) const @@ -777,7 +790,7 @@

const std::pair< std::string, const clang::Expr * > EntryFunctionContainer::getResetSignal ( - ) + ) const @@ -794,9 +807,9 @@

- + - +
EntryFunctionContainer::SenseMapType EntryFunctionContainer::getSenseMap EntryFunctionContainer::SenseMapType EntryFunctionContainer::getSenseMap ())
@@ -813,9 +826,9 @@

- + - +
SuspensionAutomata::transitionVectorType EntryFunctionContainer::getSusAuto SuspensionAutomata::transitionVectorType EntryFunctionContainer::getSusAuto ())
@@ -832,9 +845,9 @@

- + - +
SuspensionAutomata::susCFGVectorType EntryFunctionContainer::getSusCFG SuspensionAutomata::susCFGVectorType EntryFunctionContainer::getSusCFG ())
@@ -851,9 +864,9 @@

- + - +
EntryFunctionContainer::waitContainerListType EntryFunctionContainer::getWaitCalls EntryFunctionContainer::waitContainerListType EntryFunctionContainer::getWaitCalls ())
@@ -872,7 +885,7 @@

bool EntryFunctionContainer::isResetAsync ( - ) + ) const @@ -910,7 +923,7 @@

void EntryFunctionContainer::setName ( - std::string ) + std::string ) @@ -947,7 +960,7 @@

- +
notifyContainerListType systemc_clang::EntryFunctionContainer::_notifyCallsnotifyContainerListType systemc_clang::EntryFunctionContainer::_notifyCalls

@@ -1125,7 +1138,8 @@

+

diff --git a/classsystemc__clang_1_1EventContainer-members.html b/classsystemc__clang_1_1EventContainer-members.html index 729e522a..12b6c64f 100644 --- a/classsystemc__clang_1_1EventContainer-members.html +++ b/classsystemc__clang_1_1EventContainer-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::EventContainer Member List
@@ -94,7 +107,8 @@
+
diff --git a/classsystemc__clang_1_1EventContainer.html b/classsystemc__clang_1_1EventContainer.html index 42fc64ce..f53c76e1 100644 --- a/classsystemc__clang_1_1EventContainer.html +++ b/classsystemc__clang_1_1EventContainer.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::EventContainer Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
diff --git a/classsystemc__clang_1_1EventDecl-members.html b/classsystemc__clang_1_1EventDecl-members.html index 788276a3..58a678ee 100644 --- a/classsystemc__clang_1_1EventDecl-members.html +++ b/classsystemc__clang_1_1EventDecl-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::EventDecl Member List
@@ -94,7 +107,8 @@
+

diff --git a/classsystemc__clang_1_1EventDecl.html b/classsystemc__clang_1_1EventDecl.html index 96d90e08..2994fe34 100644 --- a/classsystemc__clang_1_1EventDecl.html +++ b/classsystemc__clang_1_1EventDecl.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::EventDecl Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__clang_1_1FindArgument-members.html b/classsystemc__clang_1_1FindArgument-members.html index 8c63da9f..77f06b61 100644 --- a/classsystemc__clang_1_1FindArgument-members.html +++ b/classsystemc__clang_1_1FindArgument-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::FindArgument Member List
@@ -94,7 +107,8 @@
+
diff --git a/classsystemc__clang_1_1FindArgument.html b/classsystemc__clang_1_1FindArgument.html index 0b7d9e07..6541a2cc 100644 --- a/classsystemc__clang_1_1FindArgument.html +++ b/classsystemc__clang_1_1FindArgument.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindArgument Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
diff --git a/classsystemc__clang_1_1FindConstructor-members.html b/classsystemc__clang_1_1FindConstructor-members.html index b639c1b3..2e87da86 100644 --- a/classsystemc__clang_1_1FindConstructor-members.html +++ b/classsystemc__clang_1_1FindConstructor-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::FindConstructor Member List
@@ -100,7 +113,8 @@
+

diff --git a/classsystemc__clang_1_1FindConstructor.html b/classsystemc__clang_1_1FindConstructor.html index 823aae5c..69df7c83 100644 --- a/classsystemc__clang_1_1FindConstructor.html +++ b/classsystemc__clang_1_1FindConstructor.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindConstructor Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
- + + +
+ +
diff --git a/classsystemc__clang_1_1FindEntryFunctions.html b/classsystemc__clang_1_1FindEntryFunctions.html index 66e112d1..7bc80526 100644 --- a/classsystemc__clang_1_1FindEntryFunctions.html +++ b/classsystemc__clang_1_1FindEntryFunctions.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindEntryFunctions Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -112,13 +125,13 @@ - - - - - - - + + + + + + +

Public Types

typedef std::vector< EntryFunctionContainer * > entryFunctionVectorType
 Typedefs.
 
typedef std::pair< string, vector< string > > entryFunctionLHSPairType
 
typedef std::map< string, vector< string > > entryFunctionLHSMapType
 
typedef std::vector< EntryFunctionContainer * > entryFunctionVectorType
 Typedefs.
 
typedef std::pair< string, vector< string > > entryFunctionLHSPairType
 
typedef std::map< string, vector< string > > entryFunctionLHSMapType
 
@@ -141,7 +154,7 @@ - + @@ -181,9 +194,9 @@ - + - + @@ -194,14 +207,14 @@

Definition at line 14 of file FindEntryFunctions.h.

Member Typedef Documentation

- -

◆ entryFunctionLHSMapType

+ +

◆ entryFunctionLHSMapType

Public Member Functions

 
string getEntryName ()
 
entryFunctionVectorTypegetEntryFunctions ()
entryFunctionVectorTypegetEntryFunctions ()
 
vector< CXXMethodDecl * > getOtherFunctions ()
 
 
clang::CXXConstructorDecl * ctor_decl_
 
entryFunctionVectorType entry_function_list_
entryFunctionVectorType entry_function_list_
 
entryFunctionLHSMapType entry_function_map_
entryFunctionLHSMapType entry_function_map_
 
vector< CXXMethodDecl * > other_function_list_
 
- +
typedef std::map<string, vector<string> > systemc_clang::FindEntryFunctions::entryFunctionLHSMapTypestd::map<string, vector<string> > systemc_clang::FindEntryFunctions::entryFunctionLHSMapType
@@ -210,14 +223,14 @@

-

◆ entryFunctionLHSPairType

+ +

◆ entryFunctionLHSPairType

- +
typedef std::pair<string, vector<string> > systemc_clang::FindEntryFunctions::entryFunctionLHSPairTypestd::pair<string, vector<string> > systemc_clang::FindEntryFunctions::entryFunctionLHSPairType
@@ -226,14 +239,14 @@

-

◆ entryFunctionVectorType

+ +

◆ entryFunctionVectorType

diff --git a/classsystemc__clang_1_1FindEntryFunctions__coll__graph.png b/classsystemc__clang_1_1FindEntryFunctions__coll__graph.png index c6cd1459..82e7f6d9 100644 Binary files a/classsystemc__clang_1_1FindEntryFunctions__coll__graph.png and b/classsystemc__clang_1_1FindEntryFunctions__coll__graph.png differ diff --git a/classsystemc__clang_1_1FindEvents-members.html b/classsystemc__clang_1_1FindEvents-members.html index 036569ad..e574e6fa 100644 --- a/classsystemc__clang_1_1FindEvents-members.html +++ b/classsystemc__clang_1_1FindEvents-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsystemc__clang_1_1FindEvents.html b/classsystemc__clang_1_1FindEvents.html index a3e7ac29..259f3509 100644 --- a/classsystemc__clang_1_1FindEvents.html +++ b/classsystemc__clang_1_1FindEvents.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindEvents Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -109,11 +122,11 @@ - - - - - + + + + +

Public Types

typedef std::map< std::string, clang::FieldDecl * > classEventMapType
 typedefs
 
typedef std::pair< std::string, clang::FieldDecl * > kvType
 
typedef std::map< std::string, clang::FieldDecl * > classEventMapType
 typedefs
 
typedef std::pair< std::string, clang::FieldDecl * > kvType
 
@@ -126,7 +139,7 @@ - + @@ -139,21 +152,21 @@ Private Attributes - +

Public Member Functions

virtual bool VisitFieldDecl (clang::FieldDecl *)
 RecursiveASTVisitor methods.
 
classEventMapType getInClassEvents ()
classEventMapType getInClassEvents ()
 Access methods.
 
std::vector< std::string > getEventNames ()
llvm::raw_ostream & os_
 
classEventMapType _inClassEvents
classEventMapType _inClassEvents
 

Detailed Description

Definition at line 17 of file FindEvents.h.

Member Typedef Documentation

- -

◆ classEventMapType

+ +

◆ classEventMapType

- +
typedef std::map<std::string, clang::FieldDecl *> systemc_clang::FindEvents::classEventMapTypestd::map<std::string, clang::FieldDecl *> systemc_clang::FindEvents::classEventMapType
@@ -164,14 +177,14 @@

-

◆ kvType

+ +

◆ kvType

- + + +

+ +
diff --git a/classsystemc__clang_1_1FindGPUMacro.html b/classsystemc__clang_1_1FindGPUMacro.html index 46e524c8..c1891cc4 100644 --- a/classsystemc__clang_1_1FindGPUMacro.html +++ b/classsystemc__clang_1_1FindGPUMacro.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindGPUMacro Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Types | @@ -109,14 +122,14 @@ - - - - - - - - + + + + + + + +

Public Types

typedef pair< int, ForStmt * > forStmtInstanceIdPairType
 
typedef map< int, ForStmt * > forStmtInstanceIdMapType
 
typedef pair< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroPairType
 
typedef map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
 
typedef pair< int, ForStmt * > forStmtInstanceIdPairType
 
typedef map< int, ForStmt * > forStmtInstanceIdMapType
 
typedef pair< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroPairType
 
typedef map< forStmtInstanceIdPairType, GPUMacro * > forStmtGPUMacroMapType
 
@@ -126,7 +139,7 @@ - + @@ -145,7 +158,7 @@

Public Member Functions

 
virtual bool VisitForStmt (ForStmt *)
 
forStmtGPUMacroMapType getForStmtGPUMacroMap ()
forStmtGPUMacroMapType getForStmtGPUMacroMap ()
 
void dump ()
 
- + @@ -162,14 +175,14 @@

Definition at line 47 of file FindGPUMacro.h.

Member Typedef Documentation

- -

◆ forStmtGPUMacroMapType

+ +

◆ forStmtGPUMacroMapType

Private Attributes

forStmtGPUMacroMapType _forStmtGPUMacroMap
forStmtGPUMacroMapType _forStmtGPUMacroMap
 
CXXMethodDecl * _entryFunction
 
- +
typedef map<forStmtInstanceIdPairType, GPUMacro *> systemc_clang::FindGPUMacro::forStmtGPUMacroMapTypemap<forStmtInstanceIdPairType, GPUMacro *> systemc_clang::FindGPUMacro::forStmtGPUMacroMapType
@@ -178,14 +191,14 @@

-

◆ forStmtGPUMacroPairType

+ +

◆ forStmtGPUMacroPairType

@@ -194,14 +207,14 @@

-

◆ forStmtInstanceIdMapType

+ +

◆ forStmtInstanceIdMapType

@@ -210,14 +223,14 @@

-

◆ forStmtInstanceIdPairType

+ +

◆ forStmtInstanceIdPairType

- + + +

+ +
diff --git a/classsystemc__clang_1_1FindGlobalEvents.html b/classsystemc__clang_1_1FindGlobalEvents.html index 4943bfe4..6672be35 100644 --- a/classsystemc__clang_1_1FindGlobalEvents.html +++ b/classsystemc__clang_1_1FindGlobalEvents.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindGlobalEvents Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -109,10 +122,10 @@ - - - - + + + +

Public Types

typedef std::map< std::string, clang::VarDecl * > globalEventMapType
 
typedef std::pair< std::string, clang::VarDecl * > kvType
 
typedef std::map< std::string, clang::VarDecl * > globalEventMapType
 
typedef std::pair< std::string, clang::VarDecl * > kvType
 
@@ -122,7 +135,7 @@ - + @@ -135,21 +148,21 @@ Private Attributes - +

Public Member Functions

 
virtual bool VisitVarDecl (clang::VarDecl *)
 
globalEventMapType getEventMap ()
globalEventMapType getEventMap ()
 
std::vector< std::string > getEventNames ()
 
llvm::raw_ostream & _os
 
globalEventMapType _globalEvents
globalEventMapType _globalEvents
 

Detailed Description

Definition at line 20 of file FindGlobalEvents.h.

Member Typedef Documentation

- -

◆ globalEventMapType

+ +

◆ globalEventMapType

- +
typedef std::map<std::string, clang::VarDecl *> systemc_clang::FindGlobalEvents::globalEventMapTypestd::map<std::string, clang::VarDecl *> systemc_clang::FindGlobalEvents::globalEventMapType
@@ -158,14 +171,14 @@

-

◆ kvType

+ +

◆ kvType

- + + +

+ +
diff --git a/classsystemc__clang_1_1FindNetlist.html b/classsystemc__clang_1_1FindNetlist.html index 3e19fc40..dd455869 100644 --- a/classsystemc__clang_1_1FindNetlist.html +++ b/classsystemc__clang_1_1FindNetlist.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindNetlist Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Types | @@ -109,22 +122,22 @@ - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + +

Public Types

typedef pair< string, string > instanceModulePairType
 
typedef map< string, string > instanceModuleMapType
 
typedef pair< string, string > portSignalPairType
 
typedef map< string, string > portSignalMapType
 
typedef pair< string, portSignalMapTypeinstancePortSignalPairType
 
typedef map< string, portSignalMapTypeinstancePortSignalMapType
 
typedef pair< string, vector< string > > instanceListModulePairType
 
typedef map< string, vector< string > > instanceListModuleMapType
 
typedef pair< string, string > instanceModulePairType
 
typedef map< string, string > instanceModuleMapType
 
typedef pair< string, string > portSignalPairType
 
typedef map< string, string > portSignalMapType
 
typedef pair< string, portSignalMapTypeinstancePortSignalPairType
 
typedef map< string, portSignalMapTypeinstancePortSignalMapType
 
typedef pair< string, vector< string > > instanceListModulePairType
 
typedef map< string, vector< string > > instanceListModuleMapType
 
@@ -138,13 +151,13 @@ - + - + - + - + @@ -155,27 +168,27 @@ Private Attributes - + - + - + - +

Public Member Functions

 
void updateInstanceListModuleMap (const std::string &, const std::string &)
 
const instanceModuleMapTypegetInstanceModuleMap ()
const instanceModuleMapTypegetInstanceModuleMap ()
 
const instancePortSignalMapTypegetInstancePortSignalMap ()
const instancePortSignalMapTypegetInstancePortSignalMap ()
 
const instanceListModuleMapTypegetInstanceListModuleMap ()
const instanceListModuleMapTypegetInstanceListModuleMap ()
 
const portSignalMapTypegetPortSignalMap ()
const portSignalMapTypegetPortSignalMap ()
 
int getNumInstances (std::string)
 
int _pass
 
instanceModuleMapType inst_module_map_
instanceModuleMapType inst_module_map_
 
portSignalMapType port_signal_map_
portSignalMapType port_signal_map_
 
instancePortSignalMapType inst_port_signal_map_
instancePortSignalMapType inst_port_signal_map_
 
instanceListModuleMapType inst_list_module_map_
instanceListModuleMapType inst_list_module_map_
 

Detailed Description

Definition at line 13 of file FindNetlist.h.

Member Typedef Documentation

- -

◆ instanceListModuleMapType

+ +

◆ instanceListModuleMapType

- +
typedef map<string, vector<string> > systemc_clang::FindNetlist::instanceListModuleMapTypemap<string, vector<string> > systemc_clang::FindNetlist::instanceListModuleMapType
@@ -184,14 +197,14 @@

-

◆ instanceListModulePairType

+ +

◆ instanceListModulePairType

- +
typedef pair<string, vector<string> > systemc_clang::FindNetlist::instanceListModulePairTypepair<string, vector<string> > systemc_clang::FindNetlist::instanceListModulePairType
@@ -200,14 +213,14 @@

-

◆ instanceModuleMapType

+ +

◆ instanceModuleMapType

@@ -216,14 +229,14 @@

-

◆ instanceModulePairType

+ +

◆ instanceModulePairType

@@ -232,14 +245,14 @@

-

◆ instancePortSignalMapType

+ +

◆ instancePortSignalMapType

@@ -248,14 +261,14 @@

-

◆ instancePortSignalPairType

+ +

◆ instancePortSignalPairType

@@ -264,14 +277,14 @@

-

◆ portSignalMapType

+ +

◆ portSignalMapType

@@ -280,14 +293,14 @@

-

◆ portSignalPairType

+ +

◆ portSignalPairType

@@ -347,7 +360,7 @@

FindNetlist::~FindNetlist ( - ) + ) @@ -372,7 +385,7 @@

void FindNetlist::dump ( - ) + ) @@ -389,9 +402,9 @@

- + - +
const FindNetlist::instanceListModuleMapType & FindNetlist::getInstanceListModuleMap const FindNetlist::instanceListModuleMapType & FindNetlist::getInstanceListModuleMap ())
@@ -408,9 +421,9 @@

- + - +
const FindNetlist::instanceModuleMapType & FindNetlist::getInstanceModuleMap const FindNetlist::instanceModuleMapType & FindNetlist::getInstanceModuleMap ())
@@ -427,9 +440,9 @@

- + - +
const FindNetlist::instancePortSignalMapType & FindNetlist::getInstancePortSignalMap const FindNetlist::instancePortSignalMapType & FindNetlist::getInstancePortSignalMap ())
@@ -448,7 +461,7 @@

int FindNetlist::getNumInstances ( - std::string ) + std::string ) @@ -465,9 +478,9 @@

- + - +
const FindNetlist::portSignalMapType & FindNetlist::getPortSignalMap const FindNetlist::portSignalMapType & FindNetlist::getPortSignalMap ())
@@ -486,7 +499,7 @@

void FindNetlist::updateInstanceListModuleMap ( - const std::string & instanceName, + const std::string & instanceName, @@ -562,7 +575,7 @@

- +
instanceListModuleMapType systemc_clang::FindNetlist::inst_list_module_map_instanceListModuleMapType systemc_clang::FindNetlist::inst_list_module_map_
@@ -586,7 +599,7 @@

- +
instanceModuleMapType systemc_clang::FindNetlist::inst_module_map_instanceModuleMapType systemc_clang::FindNetlist::inst_module_map_
@@ -610,7 +623,7 @@

- +
instancePortSignalMapType systemc_clang::FindNetlist::inst_port_signal_map_instancePortSignalMapType systemc_clang::FindNetlist::inst_port_signal_map_
@@ -634,7 +647,7 @@

- +
portSignalMapType systemc_clang::FindNetlist::port_signal_map_portSignalMapType systemc_clang::FindNetlist::port_signal_map_
@@ -655,7 +668,8 @@

+

diff --git a/classsystemc__clang_1_1FindNotify-members.html b/classsystemc__clang_1_1FindNotify-members.html index bebb695f..b6581d00 100644 --- a/classsystemc__clang_1_1FindNotify-members.html +++ b/classsystemc__clang_1_1FindNotify-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::FindNotify Member List
@@ -97,7 +110,8 @@
+
diff --git a/classsystemc__clang_1_1FindNotify.html b/classsystemc__clang_1_1FindNotify.html index 2a0e8670..7fb34586 100644 --- a/classsystemc__clang_1_1FindNotify.html +++ b/classsystemc__clang_1_1FindNotify.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindNotify Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
diff --git a/classsystemc__clang_1_1FindSCMain-members.html b/classsystemc__clang_1_1FindSCMain-members.html index 4b2f3021..6626fa22 100644 --- a/classsystemc__clang_1_1FindSCMain-members.html +++ b/classsystemc__clang_1_1FindSCMain-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::FindSCMain Member List
@@ -92,7 +105,8 @@
+

diff --git a/classsystemc__clang_1_1FindSCMain.html b/classsystemc__clang_1_1FindSCMain.html index 7ba237c3..72f8cf3b 100644 --- a/classsystemc__clang_1_1FindSCMain.html +++ b/classsystemc__clang_1_1FindSCMain.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindSCMain Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__clang_1_1FindSimTime-members.html b/classsystemc__clang_1_1FindSimTime-members.html index 3c1f8159..2d3bc346 100644 --- a/classsystemc__clang_1_1FindSimTime-members.html +++ b/classsystemc__clang_1_1FindSimTime-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +
diff --git a/classsystemc__clang_1_1FindSimTime.html b/classsystemc__clang_1_1FindSimTime.html index bf66f3e7..2f191b96 100644 --- a/classsystemc__clang_1_1FindSimTime.html +++ b/classsystemc__clang_1_1FindSimTime.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindSimTime Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -94,7 +107,7 @@ - +
[legend]
@@ -106,17 +119,17 @@ - +
[legend]
- - - - + + + +

Public Types

typedef pair< string, string > simulationTimePairType
 
typedef map< string, string > simulationTimeMapType
 
typedef pair< string, string > simulationTimePairType
 
typedef map< string, string > simulationTimeMapType
 
@@ -126,7 +139,7 @@ - + @@ -149,21 +162,21 @@ Private Attributes - +

Public Member Functions

 
virtual bool VisitCallExpr (CallExpr *c)
 
simulationTimeMapType returnSimTime ()
simulationTimeMapType returnSimTime ()
 
- Public Member Functions inherited from systemc_clang::Utility
 Utility ()
llvm::raw_ostream & os_
 
simulationTimeMapType simulation_time_
simulationTimeMapType simulation_time_
 

Detailed Description

Definition at line 15 of file FindSimTime.h.

Member Typedef Documentation

- -

◆ simulationTimeMapType

+ +

◆ simulationTimeMapType

@@ -172,14 +185,14 @@

-

◆ simulationTimePairType

+ +

◆ simulationTimePairType

diff --git a/classsystemc__clang_1_1FindSimTime__coll__graph.map b/classsystemc__clang_1_1FindSimTime__coll__graph.map index 0085e8ad..4976382f 100644 --- a/classsystemc__clang_1_1FindSimTime__coll__graph.map +++ b/classsystemc__clang_1_1FindSimTime__coll__graph.map @@ -2,6 +2,6 @@ - + diff --git a/classsystemc__clang_1_1FindSimTime__inherit__graph.map b/classsystemc__clang_1_1FindSimTime__inherit__graph.map index 0085e8ad..4976382f 100644 --- a/classsystemc__clang_1_1FindSimTime__inherit__graph.map +++ b/classsystemc__clang_1_1FindSimTime__inherit__graph.map @@ -2,6 +2,6 @@ - + diff --git a/classsystemc__clang_1_1FindTLMInterfaces-members.html b/classsystemc__clang_1_1FindTLMInterfaces-members.html index b00d2a3d..55272c44 100644 --- a/classsystemc__clang_1_1FindTLMInterfaces-members.html +++ b/classsystemc__clang_1_1FindTLMInterfaces-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsystemc__clang_1_1FindTLMInterfaces.html b/classsystemc__clang_1_1FindTLMInterfaces.html index e918565a..4649e28c 100644 --- a/classsystemc__clang_1_1FindTLMInterfaces.html +++ b/classsystemc__clang_1_1FindTLMInterfaces.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindTLMInterfaces Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Types | @@ -109,10 +122,10 @@ - - - - + + + +

Public Types

typedef std::map< string, FindTemplateTypes * > interfaceType
 
typedef std::pair< string, FindTemplateTypes * > kvType
 
typedef std::map< string, FindTemplateTypes * > interfaceType
 
typedef std::pair< string, FindTemplateTypes * > kvType
 
@@ -122,11 +135,11 @@ - + - + - + @@ -135,25 +148,25 @@ Private Attributes - + - + - +

Public Member Functions

 
virtual bool VisitFieldDecl (FieldDecl *)
 
interfaceType getInputInterfaces ()
interfaceType getInputInterfaces ()
 
interfaceType getOutputInterfaces ()
interfaceType getOutputInterfaces ()
 
interfaceType getInputOutputInterfaces ()
interfaceType getInputOutputInterfaces ()
 
void dump ()
 
llvm::raw_ostream & _os
 
interfaceType _inInterfaces
interfaceType _inInterfaces
 
interfaceType _outInterfaces
interfaceType _outInterfaces
 
interfaceType _inoutInterfaces
interfaceType _inoutInterfaces
 

Detailed Description

Definition at line 11 of file FindTLMInterfaces.h.

Member Typedef Documentation

- -

◆ interfaceType

+ +

◆ interfaceType

@@ -162,14 +175,14 @@

-

◆ kvType

+ +

◆ kvType

diff --git a/classsystemc__clang_1_1FindTemplateParameters-members.html b/classsystemc__clang_1_1FindTemplateParameters-members.html index 68e5cb99..19817255 100644 --- a/classsystemc__clang_1_1FindTemplateParameters-members.html +++ b/classsystemc__clang_1_1FindTemplateParameters-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::FindTemplateParameters Member List
@@ -94,7 +107,8 @@
+
diff --git a/classsystemc__clang_1_1FindTemplateParameters.html b/classsystemc__clang_1_1FindTemplateParameters.html index e2dbc7ac..b0aa5dc1 100644 --- a/classsystemc__clang_1_1FindTemplateParameters.html +++ b/classsystemc__clang_1_1FindTemplateParameters.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindTemplateParameters Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
diff --git a/classsystemc__clang_1_1FindTemplateTypes-members.html b/classsystemc__clang_1_1FindTemplateTypes-members.html index f2dab199..86f7bb31 100644 --- a/classsystemc__clang_1_1FindTemplateTypes-members.html +++ b/classsystemc__clang_1_1FindTemplateTypes-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +
diff --git a/classsystemc__clang_1_1FindTemplateTypes.html b/classsystemc__clang_1_1FindTemplateTypes.html index ca114f58..70a1ff09 100644 --- a/classsystemc__clang_1_1FindTemplateTypes.html +++ b/classsystemc__clang_1_1FindTemplateTypes.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindTemplateTypes Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Types | @@ -102,7 +115,7 @@
Collaboration graph
- + @@ -118,13 +131,13 @@ - - - - - - - + + + + + + +

Public Types

typedef TemplateType TemplateTypePtr
 Typedefs.
 
typedef std::vector< TemplateTypePtrtype_vector_t
 
typedef std::vector< TemplateTypePtrargVectorType
 
typedef TemplateType TemplateTypePtr
 Typedefs.
 
typedef std::vector< TemplateTypePtrtype_vector_t
 
typedef std::vector< TemplateTypePtrargVectorType
 
@@ -180,14 +193,14 @@

Definition at line 49 of file FindTemplateTypes.h.

Member Typedef Documentation

- -

◆ argVectorType

+ +

◆ argVectorType

Public Member Functions

- +
typedef std::vector<TemplateTypePtr> systemc_clang::FindTemplateTypes::argVectorTypestd::vector<TemplateTypePtr> systemc_clang::FindTemplateTypes::argVectorType
@@ -196,14 +209,14 @@

-

◆ TemplateTypePtr

+ +

◆ TemplateTypePtr

@@ -214,14 +227,14 @@

-

◆ type_vector_t

+ +

◆ type_vector_t

diff --git a/classsystemc__clang_1_1FindTemplateTypes__coll__graph.map b/classsystemc__clang_1_1FindTemplateTypes__coll__graph.map index 2eb363c1..d933fa11 100644 --- a/classsystemc__clang_1_1FindTemplateTypes__coll__graph.map +++ b/classsystemc__clang_1_1FindTemplateTypes__coll__graph.map @@ -1,6 +1,6 @@ - + diff --git a/classsystemc__clang_1_1FindTemplateTypes__coll__graph.png b/classsystemc__clang_1_1FindTemplateTypes__coll__graph.png index c9d0f8f8..b144cf96 100644 Binary files a/classsystemc__clang_1_1FindTemplateTypes__coll__graph.png and b/classsystemc__clang_1_1FindTemplateTypes__coll__graph.png differ diff --git a/classsystemc__clang_1_1FindWait-members.html b/classsystemc__clang_1_1FindWait-members.html index 70c3f8b6..99655cc0 100644 --- a/classsystemc__clang_1_1FindWait-members.html +++ b/classsystemc__clang_1_1FindWait-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsystemc__clang_1_1FindWait.html b/classsystemc__clang_1_1FindWait.html index 55f52da2..c512b8b0 100644 --- a/classsystemc__clang_1_1FindWait.html +++ b/classsystemc__clang_1_1FindWait.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::FindWait Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -109,12 +122,12 @@ - - - - - - + + + + + +

Public Types

typedef vector< WaitContainer * > waitListType
 
typedef std::pair< clang::CXXMethodDecl *, vector< std::string > > processWaitEventPairType
 
typedef std::map< clang::CXXMethodDecl *, vector< std::string > > processWaitEventMapType
 
typedef vector< WaitContainer * > waitListType
 
typedef std::pair< clang::CXXMethodDecl *, vector< std::string > > processWaitEventPairType
 
typedef std::map< clang::CXXMethodDecl *, vector< std::string > > processWaitEventMapType
 
@@ -130,7 +143,7 @@ - + @@ -145,21 +158,21 @@ - +

Public Member Functions

 
clang::CXXMethodDecl * getEntryMethod () const
 
waitListType getWaitCalls ()
waitListType getWaitCalls ()
 
void dump ()
 
 
bool found_wait_
 
waitListType wait_calls_list_
waitListType wait_calls_list_
 

Detailed Description

Definition at line 18 of file FindWait.h.

Member Typedef Documentation

- -

◆ processWaitEventMapType

+ +

◆ processWaitEventMapType

- +
typedef std::map<clang::CXXMethodDecl *, vector<std::string> > systemc_clang::FindWait::processWaitEventMapTypestd::map<clang::CXXMethodDecl *, vector<std::string> > systemc_clang::FindWait::processWaitEventMapType
@@ -168,14 +181,14 @@

-

◆ processWaitEventPairType

+ +

◆ processWaitEventPairType

- +
typedef std::pair<clang::CXXMethodDecl *, vector<std::string> > systemc_clang::FindWait::processWaitEventPairTypestd::pair<clang::CXXMethodDecl *, vector<std::string> > systemc_clang::FindWait::processWaitEventPairType
@@ -184,14 +197,14 @@

-

◆ waitListType

+ +

◆ waitListType

- + + +

+
systemc_clang::GPUMacro Member List
@@ -109,7 +122,8 @@
+
diff --git a/classsystemc__clang_1_1GPUMacro.html b/classsystemc__clang_1_1GPUMacro.html index 2b6a0774..0f162aa3 100644 --- a/classsystemc__clang_1_1GPUMacro.html +++ b/classsystemc__clang_1_1GPUMacro.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::GPUMacro Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
- + + +
+
systemc_clang::GlobalSuspensionAutomata Member List
@@ -99,15 +112,15 @@ _visitTransitionMapsystemc_clang::GlobalSuspensionAutomataprivate annotateTransitionsDPSeg(Transition *, int)systemc_clang::GlobalSuspensionAutomata arrangeGPUSusCFGBlocks(vector< SusCFG * >)systemc_clang::GlobalSuspensionAutomata - commonTimeDPMapType typedefsystemc_clang::GlobalSuspensionAutomata - commonTimeDPPairType typedefsystemc_clang::GlobalSuspensionAutomata + commonTimeDPMapType typedefsystemc_clang::GlobalSuspensionAutomata + commonTimeDPPairType typedefsystemc_clang::GlobalSuspensionAutomata dump()systemc_clang::GlobalSuspensionAutomata - entryFunctionInstanceIdMapType typedefsystemc_clang::GlobalSuspensionAutomata - entryFunctionInstanceIdPairType typedefsystemc_clang::GlobalSuspensionAutomata - entryFunctionMacroMapType typedefsystemc_clang::GlobalSuspensionAutomata - entryFunctionMacroPairType typedefsystemc_clang::GlobalSuspensionAutomata - eventNotificationTimeMapType typedefsystemc_clang::GlobalSuspensionAutomata - eventNotificationTimePairType typedefsystemc_clang::GlobalSuspensionAutomata + entryFunctionInstanceIdMapType typedefsystemc_clang::GlobalSuspensionAutomata + entryFunctionInstanceIdPairType typedefsystemc_clang::GlobalSuspensionAutomata + entryFunctionMacroMapType typedefsystemc_clang::GlobalSuspensionAutomata + entryFunctionMacroPairType typedefsystemc_clang::GlobalSuspensionAutomata + eventNotificationTimeMapType typedefsystemc_clang::GlobalSuspensionAutomata + eventNotificationTimePairType typedefsystemc_clang::GlobalSuspensionAutomata genGSauto()systemc_clang::GlobalSuspensionAutomata getArgumentName(Expr *)systemc_clang::GlobalSuspensionAutomata systemc_clang::Utility::getArgumentName(expressionArg *exp)systemc_clang::Utilityinline @@ -126,34 +139,35 @@ GPUMap(float, vector< SusCFG * >, float &)systemc_clang::GlobalSuspensionAutomata initialise()systemc_clang::GlobalSuspensionAutomata initializeGpuMap()systemc_clang::GlobalSuspensionAutomata - instanceFunctionSautoMapType typedefsystemc_clang::GlobalSuspensionAutomata - instanceFunctionSautoPairType typedefsystemc_clang::GlobalSuspensionAutomata + instanceFunctionSautoMapType typedefsystemc_clang::GlobalSuspensionAutomata + instanceFunctionSautoPairType typedefsystemc_clang::GlobalSuspensionAutomata isElementPresent(vec vecInput, element elemInput)systemc_clang::Utilityinline isNotifyCall(const CFGStmt *)systemc_clang::GlobalSuspensionAutomata max(float, float)systemc_clang::GlobalSuspensionAutomata maxTime(vector< SusCFG * >, unsigned int)systemc_clang::GlobalSuspensionAutomata merge_sort(vector< SusCFG * >, vector< SusCFG * >)systemc_clang::GlobalSuspensionAutomata removeDuplicate(vec vecInput)systemc_clang::Utilityinline - stateTransitionsMapType typedefsystemc_clang::GlobalSuspensionAutomata - stateTransitionsPairType typedefsystemc_clang::GlobalSuspensionAutomata + stateTransitionsMapType typedefsystemc_clang::GlobalSuspensionAutomata + stateTransitionsPairType typedefsystemc_clang::GlobalSuspensionAutomata strip(string s, string sub)systemc_clang::Utilityinline - susCFGBlockGPUMacroMapType typedefsystemc_clang::GlobalSuspensionAutomata - susCFGBlockGPUMacroPairType typedefsystemc_clang::GlobalSuspensionAutomata + susCFGBlockGPUMacroMapType typedefsystemc_clang::GlobalSuspensionAutomata + susCFGBlockGPUMacroPairType typedefsystemc_clang::GlobalSuspensionAutomata tabit(raw_ostream &os, int tabn)systemc_clang::Utilityinline - timePairType typedefsystemc_clang::GlobalSuspensionAutomata - transitionTimeMapType typedefsystemc_clang::GlobalSuspensionAutomata - transitionTimePairType typedefsystemc_clang::GlobalSuspensionAutomata - transitionVectorType typedefsystemc_clang::GlobalSuspensionAutomata + timePairType typedefsystemc_clang::GlobalSuspensionAutomata + transitionTimeMapType typedefsystemc_clang::GlobalSuspensionAutomata + transitionTimePairType typedefsystemc_clang::GlobalSuspensionAutomata + transitionVectorType typedefsystemc_clang::GlobalSuspensionAutomata updateEventNotificationTime(Transition *)systemc_clang::GlobalSuspensionAutomata updateTransitionTime(Transition *)systemc_clang::GlobalSuspensionAutomata Utility()systemc_clang::Utilityinline - visitTransitionMapType typedefsystemc_clang::GlobalSuspensionAutomata - visitTransitionPairType typedefsystemc_clang::GlobalSuspensionAutomata + visitTransitionMapType typedefsystemc_clang::GlobalSuspensionAutomata + visitTransitionPairType typedefsystemc_clang::GlobalSuspensionAutomata ~GlobalSuspensionAutomata()systemc_clang::GlobalSuspensionAutomata
+
diff --git a/classsystemc__clang_1_1GlobalSuspensionAutomata.html b/classsystemc__clang_1_1GlobalSuspensionAutomata.html index 0784ef71..0a007cc1 100644 --- a/classsystemc__clang_1_1GlobalSuspensionAutomata.html +++ b/classsystemc__clang_1_1GlobalSuspensionAutomata.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::GlobalSuspensionAutomata Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Types | @@ -115,46 +128,46 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Public Types

typedef pair< float, int > timePairType
 
typedef vector< Transition * > transitionVectorType
 
typedef pair< EntryFunctionContainer *, int > entryFunctionInstanceIdPairType
 
typedef map< EntryFunctionContainer *, int > entryFunctionInstanceIdMapType
 
typedef pair< entryFunctionInstanceIdPairType, transitionVectorTypeinstanceFunctionSautoPairType
 
typedef map< entryFunctionInstanceIdPairType, transitionVectorTypeinstanceFunctionSautoMapType
 
typedef pair< Transition *, timePairTypetransitionTimePairType
 
typedef map< Transition *, timePairTypetransitionTimeMapType
 
typedef pair< string, timePairTypeeventNotificationTimePairType
 
typedef map< string, timePairTypeeventNotificationTimeMapType
 
typedef pair< State *, vector< Transition * > > stateTransitionsPairType
 
typedef map< State *, vector< Transition * > > stateTransitionsMapType
 
typedef pair< Transition *, bool > visitTransitionPairType
 
typedef map< Transition *, bool > visitTransitionMapType
 
typedef pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionMacroPairType
 
typedef map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionMacroMapType
 
typedef pair< SusCFG *, GPUMacro * > susCFGBlockGPUMacroPairType
 
typedef map< SusCFG *, GPUMacro * > susCFGBlockGPUMacroMapType
 
typedef pair< timePairType, vector< SusCFG * > > commonTimeDPPairType
 
typedef map< timePairType, vector< SusCFG * > > commonTimeDPMapType
 
typedef pair< float, int > timePairType
 
typedef vector< Transition * > transitionVectorType
 
typedef pair< EntryFunctionContainer *, int > entryFunctionInstanceIdPairType
 
typedef map< EntryFunctionContainer *, int > entryFunctionInstanceIdMapType
 
typedef pair< entryFunctionInstanceIdPairType, transitionVectorTypeinstanceFunctionSautoPairType
 
typedef map< entryFunctionInstanceIdPairType, transitionVectorTypeinstanceFunctionSautoMapType
 
typedef pair< Transition *, timePairTypetransitionTimePairType
 
typedef map< Transition *, timePairTypetransitionTimeMapType
 
typedef pair< string, timePairTypeeventNotificationTimePairType
 
typedef map< string, timePairTypeeventNotificationTimeMapType
 
typedef pair< State *, vector< Transition * > > stateTransitionsPairType
 
typedef map< State *, vector< Transition * > > stateTransitionsMapType
 
typedef pair< Transition *, bool > visitTransitionPairType
 
typedef map< Transition *, bool > visitTransitionMapType
 
typedef pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionMacroPairType
 
typedef map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionMacroMapType
 
typedef pair< SusCFG *, GPUMacro * > susCFGBlockGPUMacroPairType
 
typedef map< SusCFG *, GPUMacro * > susCFGBlockGPUMacroMapType
 
typedef pair< timePairType, vector< SusCFG * > > commonTimeDPPairType
 
typedef map< timePairType, vector< SusCFG * > > commonTimeDPMapType
 
@@ -194,23 +207,23 @@ - + - + - + - + - + - + - + - + - + @@ -235,45 +248,45 @@ - + - + - + - + - + - + - + - + - + - + - +

Public Member Functions

 
void dump ()
 
transitionVectorType getGlobalSauto ()
transitionVectorType getGlobalSauto ()
 
transitionVectorType getTransitionsAtTime (timePairType)
transitionVectorType getTransitionsAtTime (timePairType)
 
transitionVectorType getOutgoingTransitions (State *)
transitionVectorType getOutgoingTransitions (State *)
 
transitionVectorType getIncomingTransitions (State *)
transitionVectorType getIncomingTransitions (State *)
 
timePairType getTimeForTransition (Transition *)
timePairType getTimeForTransition (Transition *)
 
instanceFunctionSautoMapType getInstanceFunctionSautoMap ()
instanceFunctionSautoMapType getInstanceFunctionSautoMap ()
 
transitionTimeMapType getTransitionTimeMap ()
transitionTimeMapType getTransitionTimeMap ()
 
susCFGBlockGPUMacroMapType getSusCFGBlockGPUMacroMap ()
susCFGBlockGPUMacroMapType getSusCFGBlockGPUMacroMap ()
 
entryFunctionMacroMapType getEntryFunctionMacroMap ()
entryFunctionMacroMapType getEntryFunctionMacroMap ()
 
- Public Member Functions inherited from systemc_clang::Utility
 Utility ()
 
ASTContext * _a
 
transitionVectorType _globalSauto
transitionVectorType _globalSauto
 
Model_systemcModel
 
Model::moduleInstanceMapType _moduleInstanceMap
Model::moduleInstanceMapType _moduleInstanceMap
 
stateTransitionsMapType _incomingTransitionsMap
stateTransitionsMapType _incomingTransitionsMap
 
stateTransitionsMapType _outgoingTransitionsMap
stateTransitionsMapType _outgoingTransitionsMap
 
instanceFunctionSautoMapType _instanceFunctionSautoMap
instanceFunctionSautoMapType _instanceFunctionSautoMap
 
eventNotificationTimeMapType _eventNotificationTimeMap
eventNotificationTimeMapType _eventNotificationTimeMap
 
transitionTimeMapType _transitionTimeMap
transitionTimeMapType _transitionTimeMap
 
FindNotify::NotifyCallListType _notifyCalls
 
visitTransitionMapType _visitTransitionMap
visitTransitionMapType _visitTransitionMap
 
entryFunctionMacroMapType _entryFunctionGPUMacroMap
entryFunctionMacroMapType _entryFunctionGPUMacroMap
 
susCFGBlockGPUMacroMapType _susCFGBlockGPUMacroMap
susCFGBlockGPUMacroMapType _susCFGBlockGPUMacroMap
 
commonTimeDPMapType _commonTimeDPMap
commonTimeDPMapType _commonTimeDPMap
 

Detailed Description

Definition at line 11 of file GlobalSuspensionAutomata.h.

Member Typedef Documentation

- -

◆ commonTimeDPMapType

+ +

◆ commonTimeDPMapType

@@ -282,14 +295,14 @@

-

◆ commonTimeDPPairType

+ +

◆ commonTimeDPPairType

@@ -298,14 +311,14 @@

-

◆ entryFunctionInstanceIdMapType

+ +

◆ entryFunctionInstanceIdMapType

@@ -314,14 +327,14 @@

-

◆ entryFunctionInstanceIdPairType

+ +

◆ entryFunctionInstanceIdPairType

@@ -330,14 +343,14 @@

-

◆ entryFunctionMacroMapType

+ +

◆ entryFunctionMacroMapType

@@ -346,14 +359,14 @@

-

◆ entryFunctionMacroPairType

+ +

◆ entryFunctionMacroPairType

@@ -362,14 +375,14 @@

-

◆ eventNotificationTimeMapType

+ +

◆ eventNotificationTimeMapType

@@ -378,14 +391,14 @@

-

◆ eventNotificationTimePairType

+ +

◆ eventNotificationTimePairType

@@ -394,14 +407,14 @@

-

◆ instanceFunctionSautoMapType

+ +

◆ instanceFunctionSautoMapType

@@ -410,14 +423,14 @@

-

◆ instanceFunctionSautoPairType

+ +

◆ instanceFunctionSautoPairType

@@ -426,14 +439,14 @@

-

◆ stateTransitionsMapType

+ +

◆ stateTransitionsMapType

@@ -442,14 +455,14 @@

-

◆ stateTransitionsPairType

+ +

◆ stateTransitionsPairType

@@ -458,14 +471,14 @@

-

◆ susCFGBlockGPUMacroMapType

+ +

◆ susCFGBlockGPUMacroMapType

@@ -474,14 +487,14 @@

-

◆ susCFGBlockGPUMacroPairType

+ +

◆ susCFGBlockGPUMacroPairType

@@ -490,14 +503,14 @@

-

◆ timePairType

+ +

◆ timePairType

@@ -506,14 +519,14 @@

-

◆ transitionTimeMapType

+ +

◆ transitionTimeMapType

@@ -522,14 +535,14 @@

-

◆ transitionTimePairType

+ +

◆ transitionTimePairType

@@ -538,14 +551,14 @@

-

◆ transitionVectorType

+ +

◆ transitionVectorType

@@ -554,14 +567,14 @@

-

◆ visitTransitionMapType

+ +

◆ visitTransitionMapType

@@ -570,14 +583,14 @@

-

◆ visitTransitionPairType

+ +

◆ visitTransitionPairType

@@ -596,12 +609,12 @@

GlobalSuspensionAutomata::GlobalSuspensionAutomata ( - Model * systemCmodel, + Model * systemCmodel, - raw_ostream & os, + raw_ostream & os, @@ -624,7 +637,7 @@

GlobalSuspensionAutomata::~GlobalSuspensionAutomata ( - ) + ) @@ -644,7 +657,7 @@

void GlobalSuspensionAutomata::annotateTransitionsDPSeg ( - Transition * t, + Transition * t, @@ -686,7 +699,7 @@

void GlobalSuspensionAutomata::dump ( - ) + ) @@ -705,7 +718,7 @@

void GlobalSuspensionAutomata::genGSauto ( - ) + ) @@ -741,9 +754,9 @@

- + - +
GlobalSuspensionAutomata::entryFunctionMacroMapType GlobalSuspensionAutomata::getEntryFunctionMacroMap GlobalSuspensionAutomata::entryFunctionMacroMapType GlobalSuspensionAutomata::getEntryFunctionMacroMap ())
@@ -762,7 +775,7 @@

vector< Transition * > GlobalSuspensionAutomata::getGlobalSauto ( - ) + ) @@ -798,9 +811,9 @@

- + - +
instanceFunctionSautoMapType systemc_clang::GlobalSuspensionAutomata::getInstanceFunctionSautoMap instanceFunctionSautoMapType systemc_clang::GlobalSuspensionAutomata::getInstanceFunctionSautoMap ())
@@ -853,9 +866,9 @@

- + - +
GlobalSuspensionAutomata::susCFGBlockGPUMacroMapType GlobalSuspensionAutomata::getSusCFGBlockGPUMacroMap GlobalSuspensionAutomata::susCFGBlockGPUMacroMapType GlobalSuspensionAutomata::getSusCFGBlockGPUMacroMap ())
@@ -872,7 +885,7 @@

- + @@ -893,7 +906,7 @@

vector< Transition * > GlobalSuspensionAutomata::getTransitionsAtTime

- +
GlobalSuspensionAutomata::timePairType GlobalSuspensionAutomata::getTimeForTransition GlobalSuspensionAutomata::timePairType GlobalSuspensionAutomata::getTimeForTransition ( Transition * t) (timePairType tp)timePairType tp)
@@ -910,9 +923,9 @@

- + - +
GlobalSuspensionAutomata::transitionTimeMapType GlobalSuspensionAutomata::getTransitionTimeMap GlobalSuspensionAutomata::transitionTimeMapType GlobalSuspensionAutomata::getTransitionTimeMap ())
@@ -931,7 +944,7 @@

void systemc_clang::GlobalSuspensionAutomata::getTransportType ( - ) + ) @@ -948,12 +961,12 @@

bool GlobalSuspensionAutomata::GPUMap ( - float c_ideal, + float c_ideal, - vector< SusCFG * > susCFGBlockList, + vector< SusCFG * > susCFGBlockList, @@ -977,7 +990,7 @@

void GlobalSuspensionAutomata::initialise ( - ) + ) @@ -996,7 +1009,7 @@

void GlobalSuspensionAutomata::initializeGpuMap ( - ) + ) @@ -1034,7 +1047,7 @@

float GlobalSuspensionAutomata::max ( - float a, + float a, @@ -1057,12 +1070,12 @@

float systemc_clang::GlobalSuspensionAutomata::maxTime ( - vector< SusCFG * > , + vector< SusCFG * > , - unsigned int  ) + unsigned int  )

@@ -1078,7 +1091,7 @@

vector< SusCFG * > GlobalSuspensionAutomata::merge_sort ( - vector< SusCFG * > leftList, + vector< SusCFG * > leftList, @@ -1165,7 +1178,7 @@

- +
commonTimeDPMapType systemc_clang::GlobalSuspensionAutomata::_commonTimeDPMapcommonTimeDPMapType systemc_clang::GlobalSuspensionAutomata::_commonTimeDPMap
@@ -1189,7 +1202,7 @@

- +
entryFunctionMacroMapType systemc_clang::GlobalSuspensionAutomata::_entryFunctionGPUMacroMapentryFunctionMacroMapType systemc_clang::GlobalSuspensionAutomata::_entryFunctionGPUMacroMap
@@ -1213,7 +1226,7 @@

- +
eventNotificationTimeMapType systemc_clang::GlobalSuspensionAutomata::_eventNotificationTimeMapeventNotificationTimeMapType systemc_clang::GlobalSuspensionAutomata::_eventNotificationTimeMap
@@ -1237,7 +1250,7 @@

- +
transitionVectorType systemc_clang::GlobalSuspensionAutomata::_globalSautotransitionVectorType systemc_clang::GlobalSuspensionAutomata::_globalSauto
@@ -1261,7 +1274,7 @@

- +
stateTransitionsMapType systemc_clang::GlobalSuspensionAutomata::_incomingTransitionsMapstateTransitionsMapType systemc_clang::GlobalSuspensionAutomata::_incomingTransitionsMap
@@ -1285,7 +1298,7 @@

- +
instanceFunctionSautoMapType systemc_clang::GlobalSuspensionAutomata::_instanceFunctionSautoMapinstanceFunctionSautoMapType systemc_clang::GlobalSuspensionAutomata::_instanceFunctionSautoMap
@@ -1309,7 +1322,7 @@

- +
Model::moduleInstanceMapType systemc_clang::GlobalSuspensionAutomata::_moduleInstanceMapModel::moduleInstanceMapType systemc_clang::GlobalSuspensionAutomata::_moduleInstanceMap
@@ -1381,7 +1394,7 @@

- +
stateTransitionsMapType systemc_clang::GlobalSuspensionAutomata::_outgoingTransitionsMapstateTransitionsMapType systemc_clang::GlobalSuspensionAutomata::_outgoingTransitionsMap
@@ -1405,7 +1418,7 @@

- +
susCFGBlockGPUMacroMapType systemc_clang::GlobalSuspensionAutomata::_susCFGBlockGPUMacroMapsusCFGBlockGPUMacroMapType systemc_clang::GlobalSuspensionAutomata::_susCFGBlockGPUMacroMap
@@ -1453,7 +1466,7 @@

- +
transitionTimeMapType systemc_clang::GlobalSuspensionAutomata::_transitionTimeMaptransitionTimeMapType systemc_clang::GlobalSuspensionAutomata::_transitionTimeMap
@@ -1477,7 +1490,7 @@

- +
visitTransitionMapType systemc_clang::GlobalSuspensionAutomata::_visitTransitionMapvisitTransitionMapType systemc_clang::GlobalSuspensionAutomata::_visitTransitionMap
@@ -1498,7 +1511,8 @@

+

diff --git a/classsystemc__clang_1_1Graph-members.html b/classsystemc__clang_1_1Graph-members.html index 3620db83..1ff80feb 100644 --- a/classsystemc__clang_1_1Graph-members.html +++ b/classsystemc__clang_1_1Graph-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::Graph Member List
@@ -96,16 +109,16 @@ addEdge(int, int)systemc_clang::Graph addNode()systemc_clang::Graph addNode(int)systemc_clang::Graph - adjEdgesMapType typedefsystemc_clang::Graph - adjEdgesPairType typedefsystemc_clang::Graph - adjMapType typedefsystemc_clang::Graph - adjPairType typedefsystemc_clang::Graph + adjEdgesMapType typedefsystemc_clang::Graph + adjEdgesPairType typedefsystemc_clang::Graph + adjMapType typedefsystemc_clang::Graph + adjPairType typedefsystemc_clang::Graph dump(raw_ostream &, int tabn=0)systemc_clang::Graph dumpSauto(raw_ostream &, int tabn=0)systemc_clang::Graph - edgeIDVector typedefsystemc_clang::Graph - edgeMapType typedefsystemc_clang::Graph - edgePairType typedefsystemc_clang::Graph - edgeVector typedefsystemc_clang::Graph + edgeIDVector typedefsystemc_clang::Graph + edgeMapType typedefsystemc_clang::Graph + edgePairType typedefsystemc_clang::Graph + edgeVector typedefsystemc_clang::Graph getEdge(Node *, Node *)systemc_clang::Graph getEdge(int, int)systemc_clang::Graph getEdgeID(Edge *)systemc_clang::Graph @@ -118,10 +131,10 @@ getNode(int)systemc_clang::Graph getNodeID(Node *)systemc_clang::Graph Graph()systemc_clang::Graph - nodeIDVector typedefsystemc_clang::Graph - nodeMapType typedefsystemc_clang::Graph - nodePairType typedefsystemc_clang::Graph - nodeVector typedefsystemc_clang::Graph + nodeIDVector typedefsystemc_clang::Graph + nodeMapType typedefsystemc_clang::Graph + nodePairType typedefsystemc_clang::Graph + nodeVector typedefsystemc_clang::Graph returnAdjList()systemc_clang::Graph returnEdgeIDs()systemc_clang::Graph returnEdges()systemc_clang::Graph @@ -129,12 +142,13 @@ returnNodeIDs()systemc_clang::Graph returnNodes()systemc_clang::Graph returnNodeVector()systemc_clang::Graph - twoNodePairType typedefsystemc_clang::Graph + twoNodePairType typedefsystemc_clang::Graph ~Graph()systemc_clang::Graph
+
diff --git a/classsystemc__clang_1_1Graph.html b/classsystemc__clang_1_1Graph.html index 247dc5e0..85d6a7c5 100644 --- a/classsystemc__clang_1_1Graph.html +++ b/classsystemc__clang_1_1Graph.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Graph Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -89,32 +102,32 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + +

Public Types

typedef vector< int > nodeIDVector
 
typedef vector< int > edgeIDVector
 
typedef vector< Node * > nodeVector
 
typedef vector< Edge * > edgeVector
 
typedef map< int, Node * > nodeMapType
 
typedef pair< int, Node * > nodePairType
 
typedef map< int, Edge * > edgeMapType
 
typedef pair< int, Edge * > edgePairType
 
typedef pair< int, int > twoNodePairType
 
typedef pair< twoNodePairType, Edge * > adjPairType
 
typedef map< twoNodePairType, Edge * > adjMapType
 
typedef pair< int, vector< Edge * > > adjEdgesPairType
 
typedef map< int, vector< Edge * > > adjEdgesMapType
 
typedef vector< int > nodeIDVector
 
typedef vector< int > edgeIDVector
 
typedef vector< Node * > nodeVector
 
typedef vector< Edge * > edgeVector
 
typedef map< int, Node * > nodeMapType
 
typedef pair< int, Node * > nodePairType
 
typedef map< int, Edge * > edgeMapType
 
typedef pair< int, Edge * > edgePairType
 
typedef pair< int, int > twoNodePairType
 
typedef pair< twoNodePairType, Edge * > adjPairType
 
typedef map< twoNodePairType, Edge * > adjMapType
 
typedef pair< int, vector< Edge * > > adjEdgesPairType
 
typedef map< int, vector< Edge * > > adjEdgesMapType
 
@@ -153,19 +166,19 @@ - + - + - + - + - + - + - + @@ -174,39 +187,39 @@

Public Member Functions

 
vector< Edge * > getEdgesFromDest (Node *)
 
adjMapType returnAdjList ()
adjMapType returnAdjList ()
 
nodeIDVector returnNodeIDs ()
nodeIDVector returnNodeIDs ()
 
edgeIDVector returnEdgeIDs ()
edgeIDVector returnEdgeIDs ()
 
nodeVector returnNodes ()
nodeVector returnNodes ()
 
edgeVector returnEdges ()
edgeVector returnEdges ()
 
edgeVector returnEdgeVector ()
edgeVector returnEdgeVector ()
 
nodeVector returnNodeVector ()
nodeVector returnNodeVector ()
 
void dump (raw_ostream &, int tabn=0)
 
- + - + - + - + - + - + - + - +

Protected Attributes

adjMapType _adjList
adjMapType _adjList
 
nodeMapType _nodeMap
nodeMapType _nodeMap
 
edgeMapType _edgeMap
edgeMapType _edgeMap
 
adjEdgesMapType _adjEdges
adjEdgesMapType _adjEdges
 
int _nNodes
 
int _nEdges
 
nodeIDVector _nodeIDVector
nodeIDVector _nodeIDVector
 
edgeIDVector _edgeIDVector
edgeIDVector _edgeIDVector
 
nodeVector _nodeVector
nodeVector _nodeVector
 
edgeVector _edgeVector
edgeVector _edgeVector
 

Detailed Description

Definition at line 66 of file Automata.h.

Member Typedef Documentation

- -

◆ adjEdgesMapType

+ +

◆ adjEdgesMapType

- +
typedef map<int, vector<Edge *> > systemc_clang::Graph::adjEdgesMapTypemap<int, vector<Edge *> > systemc_clang::Graph::adjEdgesMapType
@@ -215,14 +228,14 @@

-

◆ adjEdgesPairType

+ +

◆ adjEdgesPairType

- +
typedef pair<int, vector<Edge *> > systemc_clang::Graph::adjEdgesPairTypepair<int, vector<Edge *> > systemc_clang::Graph::adjEdgesPairType
@@ -231,14 +244,14 @@

-

◆ adjMapType

+ +

◆ adjMapType

@@ -247,14 +260,14 @@

-

◆ adjPairType

+ +

◆ adjPairType

@@ -263,14 +276,14 @@

-

◆ edgeIDVector

+ +

◆ edgeIDVector

@@ -279,14 +292,14 @@

-

◆ edgeMapType

+ +

◆ edgeMapType

@@ -295,14 +308,14 @@

-

◆ edgePairType

+ +

◆ edgePairType

@@ -311,14 +324,14 @@

-

◆ edgeVector

+ +

◆ edgeVector

@@ -327,14 +340,14 @@

-

◆ nodeIDVector

+ +

◆ nodeIDVector

@@ -343,14 +356,14 @@

-

◆ nodeMapType

+ +

◆ nodeMapType

@@ -359,14 +372,14 @@

-

◆ nodePairType

+ +

◆ nodePairType

@@ -375,14 +388,14 @@

-

◆ nodeVector

+ +

◆ nodeVector

@@ -391,14 +404,14 @@

-

◆ twoNodePairType

+ +

◆ twoNodePairType

@@ -417,7 +430,7 @@

Graph::Graph ( - ) + ) @@ -438,7 +451,7 @@

Graph::~Graph ( - ) + ) @@ -458,7 +471,7 @@

Edge * Graph::addEdge ( - int fID, + int fID, @@ -481,7 +494,7 @@

Edge * Graph::addEdge ( - Node * f, + Node * f, @@ -504,7 +517,7 @@

Node * Graph::addNode ( - ) + ) @@ -542,12 +555,12 @@

void Graph::dump ( - raw_ostream & os, + raw_ostream & os, - int tabn = 0 ) + int tabn = 0 )

@@ -588,7 +601,7 @@

Edge * Graph::getEdge ( - int f, + int f, @@ -611,7 +624,7 @@

Edge * Graph::getEdge ( - Node * f, + Node * f, @@ -653,7 +666,7 @@

int Graph::getEdgeID ( - int fID, + int fID, @@ -676,7 +689,7 @@

int Graph::getEdgeID ( - Node * f, + Node * f, @@ -811,9 +824,9 @@

- + - +
Graph::adjMapType Graph::returnAdjList Graph::adjMapType Graph::returnAdjList ())
@@ -830,9 +843,9 @@

- + - +
Graph::edgeIDVector Graph::returnEdgeIDs Graph::edgeIDVector Graph::returnEdgeIDs ())
@@ -849,9 +862,9 @@

- + - +
edgeVector systemc_clang::Graph::returnEdges edgeVector systemc_clang::Graph::returnEdges ())
@@ -866,9 +879,9 @@

- + - +
Graph::edgeVector Graph::returnEdgeVector Graph::edgeVector Graph::returnEdgeVector ())
@@ -885,9 +898,9 @@

- + - +
Graph::nodeIDVector Graph::returnNodeIDs Graph::nodeIDVector Graph::returnNodeIDs ())
@@ -904,9 +917,9 @@

- + - +
nodeVector systemc_clang::Graph::returnNodes nodeVector systemc_clang::Graph::returnNodes ())
@@ -921,9 +934,9 @@

- + - +
Graph::nodeVector Graph::returnNodeVector Graph::nodeVector Graph::returnNodeVector ())
@@ -944,7 +957,7 @@

- +
adjEdgesMapType systemc_clang::Graph::_adjEdgesadjEdgesMapType systemc_clang::Graph::_adjEdges
@@ -968,7 +981,7 @@

- +
adjMapType systemc_clang::Graph::_adjListadjMapType systemc_clang::Graph::_adjList
@@ -992,7 +1005,7 @@

- +
edgeIDVector systemc_clang::Graph::_edgeIDVectoredgeIDVector systemc_clang::Graph::_edgeIDVector
@@ -1016,7 +1029,7 @@

- +
edgeMapType systemc_clang::Graph::_edgeMapedgeMapType systemc_clang::Graph::_edgeMap
@@ -1040,7 +1053,7 @@

- +
edgeVector systemc_clang::Graph::_edgeVectoredgeVector systemc_clang::Graph::_edgeVector
@@ -1112,7 +1125,7 @@

- +
nodeIDVector systemc_clang::Graph::_nodeIDVectornodeIDVector systemc_clang::Graph::_nodeIDVector
@@ -1136,7 +1149,7 @@

- +
nodeMapType systemc_clang::Graph::_nodeMapnodeMapType systemc_clang::Graph::_nodeMap
@@ -1160,7 +1173,7 @@

- +
nodeVector systemc_clang::Graph::_nodeVectornodeVector systemc_clang::Graph::_nodeVector
@@ -1181,7 +1194,8 @@

+

diff --git a/classsystemc__clang_1_1InterfaceDecl-members.html b/classsystemc__clang_1_1InterfaceDecl-members.html index 9a76d8c0..a3840c18 100644 --- a/classsystemc__clang_1_1InterfaceDecl-members.html +++ b/classsystemc__clang_1_1InterfaceDecl-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::InterfaceDecl Member List
@@ -95,7 +108,8 @@
+
diff --git a/classsystemc__clang_1_1InterfaceDecl.html b/classsystemc__clang_1_1InterfaceDecl.html index 099a543f..4a0f13dd 100644 --- a/classsystemc__clang_1_1InterfaceDecl.html +++ b/classsystemc__clang_1_1InterfaceDecl.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::InterfaceDecl Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Member Functions | @@ -90,10 +103,10 @@
@@ -259,7 +272,7 @@

std::string InterfaceDecl::getName ( - ) + ) @@ -280,7 +293,7 @@

FindTemplateTypes * InterfaceDecl::getTemplateType ( - ) + ) @@ -365,7 +378,8 @@

+

diff --git a/classsystemc__clang_1_1InterfaceDecl__coll__graph.map b/classsystemc__clang_1_1InterfaceDecl__coll__graph.map index ffb2605e..175c9a75 100644 --- a/classsystemc__clang_1_1InterfaceDecl__coll__graph.map +++ b/classsystemc__clang_1_1InterfaceDecl__coll__graph.map @@ -1,8 +1,8 @@ - + - + diff --git a/classsystemc__clang_1_1InterfaceDecl__coll__graph.png b/classsystemc__clang_1_1InterfaceDecl__coll__graph.png index eeffa719..f4e0e70d 100644 Binary files a/classsystemc__clang_1_1InterfaceDecl__coll__graph.png and b/classsystemc__clang_1_1InterfaceDecl__coll__graph.png differ diff --git a/classsystemc__clang_1_1Model-members.html b/classsystemc__clang_1_1Model-members.html index c0968ff0..49f1350d 100644 --- a/classsystemc__clang_1_1Model-members.html +++ b/classsystemc__clang_1_1Model-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::Model Member List
@@ -89,11 +102,11 @@ addSimulationTime(FindSimTime::simulationTimeMapType)systemc_clang::Model dump(raw_ostream &)systemc_clang::Model entry_function_gpu_macro_map_systemc_clang::Modelprotected - entryFunctionGPUMacroMapType typedefsystemc_clang::Model - entryFunctionGPUMacroPairType typedefsystemc_clang::Model + entryFunctionGPUMacroMapType typedefsystemc_clang::Model + entryFunctionGPUMacroPairType typedefsystemc_clang::Model event_map_systemc_clang::Modelprotected - eventMapType typedefsystemc_clang::Model - eventPairType typedefsystemc_clang::Model + eventMapType typedefsystemc_clang::Model + eventPairType typedefsystemc_clang::Model getEntryFunctionGPUMacroMap()systemc_clang::Model getEventMapType()systemc_clang::Model getGSauto()systemc_clang::Model @@ -107,10 +120,10 @@ Model(const Model &)systemc_clang::Modelprivate module_instance_list_systemc_clang::Modelprotected module_instances_systemc_clang::Modelprotected - moduleInstanceMapType typedefsystemc_clang::Model - moduleInstancePairType typedefsystemc_clang::Model - moduleMapType typedefsystemc_clang::Model - modulePairType typedefsystemc_clang::Model + moduleInstanceMapType typedefsystemc_clang::Model + moduleInstancePairType typedefsystemc_clang::Model + moduleMapType typedefsystemc_clang::Model + modulePairType typedefsystemc_clang::Model populateNestedModules()systemc_clang::Model port_signal_instance_map_systemc_clang::Modelprotected port_signal_map_systemc_clang::Modelprotected @@ -122,7 +135,8 @@
+
diff --git a/classsystemc__clang_1_1Model.html b/classsystemc__clang_1_1Model.html index 4e4164e3..9e76c40c 100644 --- a/classsystemc__clang_1_1Model.html +++ b/classsystemc__clang_1_1Model.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Model Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -102,22 +115,22 @@ - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + +

Public Types

typedef std::pair< std::string, ModuleInstance * > modulePairType
 
typedef std::vector< modulePairTypemoduleMapType
 
typedef std::pair< std::string, EventContainer * > eventPairType
 
typedef std::map< std::string, EventContainer * > eventMapType
 
typedef std::pair< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstancePairType
 
typedef std::map< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstanceMapType
 
typedef pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionGPUMacroPairType
 
typedef map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionGPUMacroMapType
 
typedef std::pair< std::string, ModuleInstance * > modulePairType
 
typedef std::vector< modulePairTypemoduleMapType
 
typedef std::pair< std::string, EventContainer * > eventPairType
 
typedef std::map< std::string, EventContainer * > eventMapType
 
typedef std::pair< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstancePairType
 
typedef std::map< ModuleInstance *, std::vector< ModuleInstance * > > moduleInstanceMapType
 
typedef pair< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionGPUMacroPairType
 
typedef map< EntryFunctionContainer *, FindGPUMacro::forStmtGPUMacroMapTypeentryFunctionGPUMacroMapType
 
@@ -127,13 +140,13 @@ - + - + - + @@ -145,9 +158,9 @@ - + - + @@ -164,21 +177,21 @@ - + - + - + - + - + - + - +

Public Member Functions

 
void addInstance (ModuleInstance *)
 
void addSimulationTime (FindSimTime::simulationTimeMapType)
void addSimulationTime (FindSimTime::simulationTimeMapType)
 
void addGlobalEvents (FindGlobalEvents::globalEventMapType)
void addGlobalEvents (FindGlobalEvents::globalEventMapType)
 
void addSCMain (FunctionDecl *)
 
void addEntryFunctionGPUMacroMap (entryFunctionGPUMacroMapType)
void addEntryFunctionGPUMacroMap (entryFunctionGPUMacroMapType)
 
void updateModuleDecl ()
 
 
ModuleInstancegetRootModuleInstance () const
 
entryFunctionGPUMacroMapType getEntryFunctionGPUMacroMap ()
entryFunctionGPUMacroMapType getEntryFunctionGPUMacroMap ()
 
eventMapType getEventMapType ()
eventMapType getEventMapType ()
 
std::vector< ModuleInstance * > & getInstances ()
 
 
ModuleInstanceroot_module_inst_
 
FindSimTime::simulationTimeMapType simulation_time_
FindSimTime::simulationTimeMapType simulation_time_
 
eventMapType event_map_
eventMapType event_map_
 
FunctionDecl * scmain_function_decl_
 
FindNetlist::instanceModuleMapType instance_module_map_
FindNetlist::instanceModuleMapType instance_module_map_
 
FindNetlist::portSignalMapType port_signal_map_
FindNetlist::portSignalMapType port_signal_map_
 
FindNetlist::instancePortSignalMapType port_signal_instance_map_
FindNetlist::instancePortSignalMapType port_signal_instance_map_
 
FindNetlist::instanceListModuleMapType module_instance_list_
FindNetlist::instanceListModuleMapType module_instance_list_
 
entryFunctionGPUMacroMapType entry_function_gpu_macro_map_
entryFunctionGPUMacroMapType entry_function_gpu_macro_map_
 
- +

@@ -190,14 +203,14 @@

Definition at line 24 of file Model.h.

Member Typedef Documentation

- -

◆ entryFunctionGPUMacroMapType

+ +

◆ entryFunctionGPUMacroMapType

@@ -206,14 +219,14 @@

-

◆ entryFunctionGPUMacroPairType

+ +

◆ entryFunctionGPUMacroPairType

@@ -222,14 +235,14 @@

-

◆ eventMapType

+ +

◆ eventMapType

- +
typedef std::map<std::string, EventContainer *> systemc_clang::Model::eventMapTypestd::map<std::string, EventContainer *> systemc_clang::Model::eventMapType
@@ -238,14 +251,14 @@

-

◆ eventPairType

+ +

◆ eventPairType

- +
typedef std::pair<std::string, EventContainer *> systemc_clang::Model::eventPairTypestd::pair<std::string, EventContainer *> systemc_clang::Model::eventPairType
@@ -254,14 +267,14 @@

-

◆ moduleInstanceMapType

+ +

◆ moduleInstanceMapType

@@ -270,14 +283,14 @@

-

◆ moduleInstancePairType

+ +

◆ moduleInstancePairType

@@ -286,14 +299,14 @@

-

◆ moduleMapType

+ +

◆ moduleMapType

@@ -302,14 +315,14 @@

-

◆ modulePairType

+ +

◆ modulePairType

- +
typedef std::pair<std::string, ModuleInstance *> systemc_clang::Model::modulePairTypestd::pair<std::string, ModuleInstance *> systemc_clang::Model::modulePairType
@@ -328,7 +341,7 @@

Model::Model

())
@@ -350,7 +363,7 @@

Model::~Model ( - ) + ) @@ -377,7 +390,7 @@

systemc_clang::Model::Model ( - const Model & ) + const Model & ) @@ -400,7 +413,7 @@

void Model::addEntryFunctionGPUMacroMap ( - entryFunctionGPUMacroMapType e) + entryFunctionGPUMacroMapType e) @@ -419,7 +432,7 @@

void Model::addGlobalEvents ( - FindGlobalEvents::globalEventMapType eventMap) + FindGlobalEvents::globalEventMapType eventMap) @@ -476,7 +489,7 @@

void Model::addSimulationTime ( - FindSimTime::simulationTimeMapType simTime) + FindSimTime::simulationTimeMapType simTime) @@ -495,7 +508,7 @@

void Model::dump ( - raw_ostream & ) + raw_ostream & ) @@ -512,9 +525,9 @@

- + - +
Model::entryFunctionGPUMacroMapType Model::getEntryFunctionGPUMacroMap Model::entryFunctionGPUMacroMapType Model::getEntryFunctionGPUMacroMap ())
@@ -531,9 +544,9 @@

- + - +
Model::eventMapType Model::getEventMapType Model::eventMapType Model::getEventMapType ())
@@ -552,7 +565,7 @@

std::vector< Transition * > systemc_clang::Model::getGSauto ( - ) + ) @@ -607,7 +620,7 @@

std::vector< ModuleInstance * > & Model::getInstances ( - ) + ) @@ -626,7 +639,7 @@

unsigned int Model::getNumEvents ( - ) + ) @@ -645,7 +658,7 @@

ModuleInstance * Model::getRootModuleInstance ( - ) + ) const @@ -664,7 +677,7 @@

void Model::populateNestedModules ( - ) + ) @@ -684,7 +697,7 @@

void systemc_clang::Model::updateModuleDecl ( - ) + ) @@ -703,7 +716,7 @@

- +
entryFunctionGPUMacroMapType systemc_clang::Model::entry_function_gpu_macro_map_entryFunctionGPUMacroMapType systemc_clang::Model::entry_function_gpu_macro_map_
@@ -727,7 +740,7 @@

- +
eventMapType systemc_clang::Model::event_map_eventMapType systemc_clang::Model::event_map_
@@ -751,7 +764,7 @@

- +
FindNetlist::instanceModuleMapType systemc_clang::Model::instance_module_map_FindNetlist::instanceModuleMapType systemc_clang::Model::instance_module_map_
@@ -775,7 +788,7 @@

- +
FindNetlist::instanceListModuleMapType systemc_clang::Model::module_instance_list_FindNetlist::instanceListModuleMapType systemc_clang::Model::module_instance_list_
@@ -823,7 +836,7 @@

- +
FindNetlist::instancePortSignalMapType systemc_clang::Model::port_signal_instance_map_FindNetlist::instancePortSignalMapType systemc_clang::Model::port_signal_instance_map_
@@ -847,7 +860,7 @@

- +
FindNetlist::portSignalMapType systemc_clang::Model::port_signal_map_FindNetlist::portSignalMapType systemc_clang::Model::port_signal_map_
@@ -919,7 +932,7 @@

- +
FindSimTime::simulationTimeMapType systemc_clang::Model::simulation_time_FindSimTime::simulationTimeMapType systemc_clang::Model::simulation_time_
@@ -940,7 +953,8 @@

+

diff --git a/classsystemc__clang_1_1ModuleInstance-members.html b/classsystemc__clang_1_1ModuleInstance-members.html index 4b93a54d..de67bd93 100644 --- a/classsystemc__clang_1_1ModuleInstance-members.html +++ b/classsystemc__clang_1_1ModuleInstance-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::ModuleInstance Member List
@@ -144,9 +157,9 @@ instance_info_systemc_clang::ModuleInstanceprivate instance_list_systemc_clang::ModuleInstanceprivate instance_name_systemc_clang::ModuleInstanceprivate - instanceName typedefsystemc_clang::ModuleInstance - interfaceMapType typedefsystemc_clang::ModuleInstance - interfacePairType typedefsystemc_clang::ModuleInstance + instanceName typedefsystemc_clang::ModuleInstance + interfaceMapType typedefsystemc_clang::ModuleInstance + interfacePairType typedefsystemc_clang::ModuleInstance iointerfaces_systemc_clang::ModuleInstanceprivate isInstanceFieldDecl() constsystemc_clang::ModuleInstance isModuleClassDeclNull()systemc_clang::ModuleInstance @@ -156,7 +169,7 @@ ModuleInstance(const std::string &, const clang::CXXRecordDecl *)systemc_clang::ModuleInstance ModuleInstance(const std::tuple< const std::string &, clang::CXXRecordDecl * > &)systemc_clang::ModuleInstance ModuleInstance(const ModuleInstance &from)systemc_clang::ModuleInstance - moduleProcessPairType typedefsystemc_clang::ModuleInstance + moduleProcessPairType typedefsystemc_clang::ModuleInstance nested_modules_systemc_clang::ModuleInstanceprivate ointerfaces_systemc_clang::ModuleInstanceprivate operator=(const ModuleInstance &from)systemc_clang::ModuleInstance @@ -165,23 +178,23 @@ out_ports_systemc_clang::ModuleInstanceprivate port_bindings_systemc_clang::ModuleInstanceprivate port_signal_map_systemc_clang::ModuleInstanceprivate - portBindingMapType typedefsystemc_clang::ModuleInstance - portBindingPairType typedefsystemc_clang::ModuleInstance - portMapType typedefsystemc_clang::ModuleInstance - portSignalMapType typedefsystemc_clang::ModuleInstance - portSignalPairType typedefsystemc_clang::ModuleInstance - PortType typedefsystemc_clang::ModuleInstance + portBindingMapType typedefsystemc_clang::ModuleInstance + portBindingPairType typedefsystemc_clang::ModuleInstance + portMapType typedefsystemc_clang::ModuleInstance + portSignalMapType typedefsystemc_clang::ModuleInstance + portSignalPairType typedefsystemc_clang::ModuleInstance + PortType typedefsystemc_clang::ModuleInstance process_map_systemc_clang::ModuleInstanceprivate - processMapType typedefsystemc_clang::ModuleInstance - processPairType typedefsystemc_clang::ModuleInstance + processMapType typedefsystemc_clang::ModuleInstance + processPairType typedefsystemc_clang::ModuleInstance setInstanceDecl(Decl *)systemc_clang::ModuleInstance setInstanceInfo(const sc_ast_matchers::ModuleInstanceType &info)systemc_clang::ModuleInstance setInstanceName(const std::string &)systemc_clang::ModuleInstance setModuleName(const std::string &)systemc_clang::ModuleInstance setTemplateArgs(const vector< std::string > &)systemc_clang::ModuleInstance setTemplateParameters(const vector< std::string > &)systemc_clang::ModuleInstance - signalMapType typedefsystemc_clang::ModuleInstance - signalPairType typedefsystemc_clang::ModuleInstance + signalMapType typedefsystemc_clang::ModuleInstance + signalPairType typedefsystemc_clang::ModuleInstance signals_systemc_clang::ModuleInstanceprivate template_args_systemc_clang::ModuleInstanceprivate template_parameters_systemc_clang::ModuleInstanceprivate @@ -190,7 +203,8 @@
+

diff --git a/classsystemc__clang_1_1ModuleInstance.html b/classsystemc__clang_1_1ModuleInstance.html index 145bce7c..d00acbaf 100644 --- a/classsystemc__clang_1_1ModuleInstance.html +++ b/classsystemc__clang_1_1ModuleInstance.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::ModuleInstance Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Types | @@ -102,34 +115,34 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + +

Public Types

typedef std::pair< std::string, SignalDecl * > signalPairType
 
typedef std::map< std::string, SignalDecl * > signalMapType
 
typedef std::pair< std::string, InterfaceDecl * > interfacePairType
 
typedef std::map< std::string, InterfaceDecl * > interfaceMapType
 
typedef std::pair< std::string, ProcessDecl * > processPairType
 
typedef std::map< std::string, ProcessDecl * > processMapType
 
typedef std::pair< std::string, std::string > moduleProcessPairType
 
typedef std::pair< std::string, std::string > portSignalPairType
 
typedef std::map< std::string, std::string > portSignalMapType
 
typedef std::vector< std::string > instanceName
 
typedef std::pair< std::string, PortBinding * > portBindingPairType
 
typedef std::map< std::string, PortBinding * > portBindingMapType
 
typedef std::vector< std::tuple< std::string, PortDecl * > > PortType
 
typedef std::vector< std::tuple< std::string, PortDecl * > > portMapType
 
typedef std::pair< std::string, SignalDecl * > signalPairType
 
typedef std::map< std::string, SignalDecl * > signalMapType
 
typedef std::pair< std::string, InterfaceDecl * > interfacePairType
 
typedef std::map< std::string, InterfaceDecl * > interfaceMapType
 
typedef std::pair< std::string, ProcessDecl * > processPairType
 
typedef std::map< std::string, ProcessDecl * > processMapType
 
typedef std::pair< std::string, std::string > moduleProcessPairType
 
typedef std::pair< std::string, std::string > portSignalPairType
 
typedef std::map< std::string, std::string > portSignalMapType
 
typedef std::vector< std::string > instanceName
 
typedef std::pair< std::string, PortBinding * > portBindingPairType
 
typedef std::map< std::string, PortBinding * > portBindingMapType
 
typedef std::vector< std::tuple< std::string, PortDecl * > > PortType
 
typedef std::vector< std::tuple< std::string, PortDecl * > > portMapType
 
@@ -147,19 +160,19 @@ - + - + - + - + - + @@ -203,35 +216,35 @@ - + - + - + - + - + - + - + - + - + - + - + - + @@ -239,7 +252,7 @@ - + @@ -284,33 +297,33 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -328,14 +341,14 @@

Definition at line 37 of file ModuleInstance.h.

Member Typedef Documentation

- -

◆ instanceName

+ +

◆ instanceName

Public Member Functions

 
virtual ~ModuleInstance ()
 
void addPorts (const PortType &found_ports, const std::string &port_type)
void addPorts (const PortType &found_ports, const std::string &port_type)
 
void addConstructor (FindConstructor *)
 
void addConstructor (clang::Stmt *)
 
void addInputInterfaces (FindTLMInterfaces::interfaceType)
void addInputInterfaces (FindTLMInterfaces::interfaceType)
 
void addOutputInterfaces (FindTLMInterfaces::interfaceType)
void addOutputInterfaces (FindTLMInterfaces::interfaceType)
 
void addInputOutputInterfaces (FindTLMInterfaces::interfaceType)
void addInputOutputInterfaces (FindTLMInterfaces::interfaceType)
 
void addProcess (FindEntryFunctions::entryFunctionVectorType *)
void addProcess (FindEntryFunctions::entryFunctionVectorType *)
 
void addInstances (const std::vector< std::string > &)
 
 
bool isModuleClassDeclNull ()
 
const portMapTypegetOPorts ()
const portMapTypegetOPorts ()
 
const portMapTypegetIPorts ()
const portMapTypegetIPorts ()
 
const portMapTypegetIOPorts ()
const portMapTypegetIOPorts ()
 
const portMapTypegetOtherVars ()
const portMapTypegetOtherVars ()
 
const portMapTypegetSubmodules ()
const portMapTypegetSubmodules ()
 
const portMapTypegetInputStreamPorts ()
const portMapTypegetInputStreamPorts ()
 
const portMapTypegetOutputStreamPorts ()
const portMapTypegetOutputStreamPorts ()
 
const std::vector< ModuleInstance * > & getBaseInstances ()
 
const portBindingMapTypegetPortBindings ()
const portBindingMapTypegetPortBindings ()
 
const processMapTypegetProcessMap ()
const processMapTypegetProcessMap ()
 
const clang::Stmt * getConstructorStmt () const
 
const clang::CXXConstructorDecl * getConstructorDecl () const
 
const interfaceMapTypegetIInterfaces ()
const interfaceMapTypegetIInterfaces ()
 
const interfaceMapTypegetOInterfaces ()
const interfaceMapTypegetOInterfaces ()
 
const interfaceMapTypegetIOInterfaces ()
const interfaceMapTypegetIOInterfaces ()
 
const std::vector< std::string > & getInstanceList ()
 
 
int getNumInstances () const
 
const signalMapTypegetSignals () const
const signalMapTypegetSignals () const
 
const std::vector< ModuleInstance * > & getNestedModuleInstances () const
 
 
clang::Decl * instance_decl_
 
processMapType process_map_
processMapType process_map_
 
portMapType in_ports_
portMapType in_ports_
 
portMapType out_ports_
portMapType out_ports_
 
portMapType inout_ports_
portMapType inout_ports_
 
portMapType other_fields_
portMapType other_fields_
 
portMapType istreamports_
portMapType istreamports_
 
portMapType ostreamports_
portMapType ostreamports_
 
portBindingMapType port_bindings_
portBindingMapType port_bindings_
 
interfaceMapType iinterfaces_
interfaceMapType iinterfaces_
 
interfaceMapType ointerfaces_
interfaceMapType ointerfaces_
 
interfaceMapType iointerfaces_
interfaceMapType iointerfaces_
 
signalMapType signals_
signalMapType signals_
 
std::vector< std::string > instance_list_
 
portSignalMapType port_signal_map_
portSignalMapType port_signal_map_
 
std::vector< EntryFunctionContainer * > vef_
 
- +
typedef std::vector<std::string> systemc_clang::ModuleInstance::instanceNamestd::vector<std::string> systemc_clang::ModuleInstance::instanceName
@@ -344,14 +357,14 @@

-

◆ interfaceMapType

+ +

◆ interfaceMapType

@@ -360,14 +373,14 @@

-

◆ interfacePairType

+ +

◆ interfacePairType

@@ -376,14 +389,14 @@

-

◆ moduleProcessPairType

+ +

◆ moduleProcessPairType

- +
typedef std::pair<std::string, std::string> systemc_clang::ModuleInstance::moduleProcessPairTypestd::pair<std::string, std::string> systemc_clang::ModuleInstance::moduleProcessPairType
@@ -392,14 +405,14 @@

-

◆ portBindingMapType

+ +

◆ portBindingMapType

@@ -408,14 +421,14 @@

-

◆ portBindingPairType

+ +

◆ portBindingPairType

@@ -424,14 +437,14 @@

-

◆ portMapType

+ +

◆ portMapType

- +
typedef std::vector<std::tuple<std::string, PortDecl *> > systemc_clang::ModuleInstance::portMapTypestd::vector<std::tuple<std::string, PortDecl *> > systemc_clang::ModuleInstance::portMapType
@@ -440,14 +453,14 @@

-

◆ portSignalMapType

+ +

◆ portSignalMapType

- +
typedef std::map<std::string, std::string> systemc_clang::ModuleInstance::portSignalMapTypestd::map<std::string, std::string> systemc_clang::ModuleInstance::portSignalMapType
@@ -456,14 +469,14 @@

-

◆ portSignalPairType

+ +

◆ portSignalPairType

- +
typedef std::pair<std::string, std::string> systemc_clang::ModuleInstance::portSignalPairTypestd::pair<std::string, std::string> systemc_clang::ModuleInstance::portSignalPairType
@@ -472,14 +485,14 @@

-

◆ PortType

+ +

◆ PortType

- +
typedef std::vector<std::tuple<std::string, PortDecl *> > systemc_clang::ModuleInstance::PortTypestd::vector<std::tuple<std::string, PortDecl *> > systemc_clang::ModuleInstance::PortType
@@ -488,14 +501,14 @@

-

◆ processMapType

+ +

◆ processMapType

@@ -504,14 +517,14 @@

-

◆ processPairType

+ +

◆ processPairType

@@ -520,14 +533,14 @@

-

◆ signalMapType

+ +

◆ signalMapType

@@ -536,14 +549,14 @@

-

◆ signalPairType

+ +

◆ signalPairType

@@ -1138,7 +1151,7 @@

const std::vector< ModuleInstance * > & ModuleInstance::getBaseInstances ( - ) + ) @@ -1157,7 +1170,7 @@

const clang::CXXConstructorDecl * ModuleInstance::getConstructorDecl ( - ) + ) const @@ -1176,7 +1189,7 @@

const clang::Stmt * ModuleInstance::getConstructorStmt ( - ) + ) const @@ -1195,7 +1208,7 @@

const std::vector< EntryFunctionContainer * > & ModuleInstance::getEntryFunctionContainer ( - ) + ) @@ -1212,9 +1225,9 @@

- + - +
const ModuleInstance::interfaceMapType & ModuleInstance::getIInterfaces const ModuleInstance::interfaceMapType & ModuleInstance::getIInterfaces ())
@@ -1231,9 +1244,9 @@

- + - +
const ModuleInstance::portMapType & ModuleInstance::getInputStreamPorts const ModuleInstance::portMapType & ModuleInstance::getInputStreamPorts ())
@@ -1252,7 +1265,7 @@

const clang::Decl * ModuleInstance::getInstanceDecl ( - ) + ) @@ -1271,7 +1284,7 @@

clang::FieldDecl * systemc_clang::ModuleInstance::getInstanceFieldDecl ( - ) + ) @@ -1288,7 +1301,7 @@

ModuleInstanceType ModuleInstance::getInstanceInfo ( - ) + ) @@ -1307,7 +1320,7 @@

const std::vector< std::string > & ModuleInstance::getInstanceList ( - ) + ) @@ -1326,7 +1339,7 @@

std::string ModuleInstance::getInstanceName ( - ) + ) const @@ -1345,7 +1358,7 @@

clang::VarDecl * systemc_clang::ModuleInstance::getInstanceVarDecl ( - ) + ) @@ -1360,9 +1373,9 @@

- + - +
const ModuleInstance::interfaceMapType & ModuleInstance::getIOInterfaces const ModuleInstance::interfaceMapType & ModuleInstance::getIOInterfaces ())
@@ -1379,9 +1392,9 @@

- + - +
const ModuleInstance::portMapType & ModuleInstance::getIOPorts const ModuleInstance::portMapType & ModuleInstance::getIOPorts ())
@@ -1398,9 +1411,9 @@

- + - +
const ModuleInstance::portMapType & ModuleInstance::getIPorts const ModuleInstance::portMapType & ModuleInstance::getIPorts ())
@@ -1419,7 +1432,7 @@

const clang::CXXRecordDecl * ModuleInstance::getModuleClassDecl ( - ) + ) @@ -1438,7 +1451,7 @@

std::string ModuleInstance::getName ( - ) + ) const @@ -1457,7 +1470,7 @@

const std::vector< ModuleInstance * > & ModuleInstance::getNestedModuleInstances ( - ) + ) const @@ -1476,7 +1489,7 @@

int ModuleInstance::getNumInstances ( - ) + ) const @@ -1493,9 +1506,9 @@

- + - +
const ModuleInstance::interfaceMapType & ModuleInstance::getOInterfaces const ModuleInstance::interfaceMapType & ModuleInstance::getOInterfaces ())
@@ -1512,9 +1525,9 @@

- + - +
const ModuleInstance::portMapType & ModuleInstance::getOPorts const ModuleInstance::portMapType & ModuleInstance::getOPorts ())
@@ -1531,9 +1544,9 @@

- + - +
const ModuleInstance::portMapType & ModuleInstance::getOtherVars const ModuleInstance::portMapType & ModuleInstance::getOtherVars ())
@@ -1550,9 +1563,9 @@

- + - +
const ModuleInstance::portMapType & ModuleInstance::getOutputStreamPorts const ModuleInstance::portMapType & ModuleInstance::getOutputStreamPorts ())
@@ -1569,9 +1582,9 @@

- + - +
const ModuleInstance::portBindingMapType & ModuleInstance::getPortBindings const ModuleInstance::portBindingMapType & ModuleInstance::getPortBindings ())
@@ -1588,9 +1601,9 @@

- + - +
const ModuleInstance::processMapType & ModuleInstance::getProcessMap const ModuleInstance::processMapType & ModuleInstance::getProcessMap ())
@@ -1607,9 +1620,9 @@

- + - +
const ModuleInstance::signalMapType & ModuleInstance::getSignals const ModuleInstance::signalMapType & ModuleInstance::getSignals ()) const
@@ -1626,9 +1639,9 @@

- + - +
const portMapType & systemc_clang::ModuleInstance::getSubmodules const portMapType & systemc_clang::ModuleInstance::getSubmodules ())
@@ -1645,7 +1658,7 @@

const std::vector< std::string > & ModuleInstance::getTemplateParameters ( - ) + ) const @@ -1664,7 +1677,7 @@

bool systemc_clang::ModuleInstance::isInstanceFieldDecl ( - ) + ) const @@ -1681,7 +1694,7 @@

bool ModuleInstance::isModuleClassDeclNull ( - ) + ) @@ -1720,7 +1733,7 @@

void systemc_clang::ModuleInstance::setInstanceDecl ( - Decl * ) + Decl * ) @@ -1756,7 +1769,7 @@

void systemc_clang::ModuleInstance::setInstanceName ( - const std::string & ) + const std::string & ) @@ -1792,7 +1805,7 @@

void ModuleInstance::setTemplateArgs ( - const vector< std::string > & ) + const vector< std::string > & ) @@ -1811,7 +1824,7 @@

void ModuleInstance::setTemplateParameters ( - const vector< std::string > & ) + const vector< std::string > & ) @@ -1928,7 +1941,7 @@

- +
interfaceMapType systemc_clang::ModuleInstance::iinterfaces_interfaceMapType systemc_clang::ModuleInstance::iinterfaces_
@@ -1952,7 +1965,7 @@

- +
portMapType systemc_clang::ModuleInstance::in_ports_portMapType systemc_clang::ModuleInstance::in_ports_
@@ -1976,7 +1989,7 @@

- +
portMapType systemc_clang::ModuleInstance::inout_ports_portMapType systemc_clang::ModuleInstance::inout_ports_
@@ -2096,7 +2109,7 @@

- +
interfaceMapType systemc_clang::ModuleInstance::iointerfaces_interfaceMapType systemc_clang::ModuleInstance::iointerfaces_
@@ -2120,7 +2133,7 @@

- +
portMapType systemc_clang::ModuleInstance::istreamports_portMapType systemc_clang::ModuleInstance::istreamports_
@@ -2194,7 +2207,7 @@

- +
interfaceMapType systemc_clang::ModuleInstance::ointerfaces_interfaceMapType systemc_clang::ModuleInstance::ointerfaces_
@@ -2218,7 +2231,7 @@

- +
portMapType systemc_clang::ModuleInstance::ostreamports_portMapType systemc_clang::ModuleInstance::ostreamports_
@@ -2242,7 +2255,7 @@

- +
portMapType systemc_clang::ModuleInstance::other_fields_portMapType systemc_clang::ModuleInstance::other_fields_
@@ -2266,7 +2279,7 @@

- +
portMapType systemc_clang::ModuleInstance::out_ports_portMapType systemc_clang::ModuleInstance::out_ports_
@@ -2290,7 +2303,7 @@

- +
portBindingMapType systemc_clang::ModuleInstance::port_bindings_portBindingMapType systemc_clang::ModuleInstance::port_bindings_
@@ -2314,7 +2327,7 @@

- +
portSignalMapType systemc_clang::ModuleInstance::port_signal_map_portSignalMapType systemc_clang::ModuleInstance::port_signal_map_
@@ -2338,7 +2351,7 @@

- +
processMapType systemc_clang::ModuleInstance::process_map_processMapType systemc_clang::ModuleInstance::process_map_
@@ -2362,7 +2375,7 @@

- +
signalMapType systemc_clang::ModuleInstance::signals_signalMapType systemc_clang::ModuleInstance::signals_
@@ -2457,7 +2470,8 @@

+

diff --git a/classsystemc__clang_1_1Node-members.html b/classsystemc__clang_1_1Node-members.html index 66f3d542..a8507c22 100644 --- a/classsystemc__clang_1_1Node-members.html +++ b/classsystemc__clang_1_1Node-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsystemc__clang_1_1Node.html b/classsystemc__clang_1_1Node.html index 58f96029..f73bae1c 100644 --- a/classsystemc__clang_1_1Node.html +++ b/classsystemc__clang_1_1Node.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Node Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -89,10 +102,10 @@ - - - - + + + +

Public Types

typedef pair< int, Node * > connectPairType
 
typedef map< int, Node * > connectMapType
 
typedef pair< int, Node * > connectPairType
 
typedef map< int, Node * > connectMapType
 
@@ -118,23 +131,23 @@ Protected Attributes - + - +

Public Member Functions

int _id
 
connectMapType _preds
connectMapType _preds
 
connectMapType _succs
connectMapType _succs
 

Detailed Description

Definition at line 19 of file Automata.h.

Member Typedef Documentation

- -

◆ connectMapType

+ +

◆ connectMapType

@@ -143,14 +156,14 @@

-

◆ connectPairType

+ +

◆ connectPairType

diff --git a/classsystemc__clang_1_1NotifyCalls-members.html b/classsystemc__clang_1_1NotifyCalls-members.html index bcc3b47f..e4f40ed5 100644 --- a/classsystemc__clang_1_1NotifyCalls-members.html +++ b/classsystemc__clang_1_1NotifyCalls-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::NotifyCalls Member List
@@ -95,7 +108,8 @@
+
diff --git a/classsystemc__clang_1_1NotifyCalls.html b/classsystemc__clang_1_1NotifyCalls.html index 0f2945db..6cae9235 100644 --- a/classsystemc__clang_1_1NotifyCalls.html +++ b/classsystemc__clang_1_1NotifyCalls.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::NotifyCalls Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
diff --git a/classsystemc__clang_1_1NotifyContainer-members.html b/classsystemc__clang_1_1NotifyContainer-members.html index 0c1dadd5..fa6a5552 100644 --- a/classsystemc__clang_1_1NotifyContainer-members.html +++ b/classsystemc__clang_1_1NotifyContainer-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +
diff --git a/classsystemc__clang_1_1NotifyContainer.html b/classsystemc__clang_1_1NotifyContainer.html index 13632560..065c9980 100644 --- a/classsystemc__clang_1_1NotifyContainer.html +++ b/classsystemc__clang_1_1NotifyContainer.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::NotifyContainer Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -90,8 +103,8 @@ - - + +

Public Types

typedef vector< string > waitArgVectorType
 
typedef vector< string > waitArgVectorType
 
@@ -109,7 +122,7 @@ - + @@ -129,21 +142,21 @@ - +

Public Member Functions

 
CallExpr * getASTNode ()
 
waitArgVectorType getArgList ()
waitArgVectorType getArgList ()
 
void dump (raw_ostream &, int tabn=0)
 
 
unsigned int _numArgs
 
waitArgVectorType _args
waitArgVectorType _args
 

Detailed Description

Definition at line 14 of file NotifyContainer.h.

Member Typedef Documentation

- -

◆ waitArgVectorType

+ +

◆ waitArgVectorType

- + + +
+
systemc_clang::PluginAction Member List
@@ -86,7 +99,8 @@
+
diff --git a/classsystemc__clang_1_1PluginAction.html b/classsystemc__clang_1_1PluginAction.html index 3bf2452f..763a2578 100644 --- a/classsystemc__clang_1_1PluginAction.html +++ b/classsystemc__clang_1_1PluginAction.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::PluginAction Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__clang_1_1PortBinding-members.html b/classsystemc__clang_1_1PortBinding-members.html index 44d78f35..1e53716f 100644 --- a/classsystemc__clang_1_1PortBinding-members.html +++ b/classsystemc__clang_1_1PortBinding-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::PortBinding Member List
@@ -82,7 +95,7 @@

This is the complete list of members for systemc_clang::PortBinding, including all inherited members.

- + @@ -127,7 +140,8 @@
ArraySubscriptsExprType typedefsystemc_clang::PortBinding
ArraySubscriptsExprType typedefsystemc_clang::PortBinding
callee_array_expr_systemc_clang::PortBindingprivate
callee_array_subscripts_systemc_clang::PortBindingprivate
callee_expr_systemc_clang::PortBindingprivate
+
diff --git a/classsystemc__clang_1_1PortBinding.html b/classsystemc__clang_1_1PortBinding.html index 18e8736d..53d0e4d2 100644 --- a/classsystemc__clang_1_1PortBinding.html +++ b/classsystemc__clang_1_1PortBinding.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::PortBinding Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -89,8 +102,8 @@ - - + +

Public Types

typedef std::vector< const clang::Expr * > ArraySubscriptsExprType
 
typedef std::vector< const clang::Expr * > ArraySubscriptsExprType
 
@@ -104,11 +117,11 @@ - + - + - + @@ -144,11 +157,11 @@ - + - + @@ -159,7 +172,7 @@ - + @@ -187,14 +200,14 @@

Definition at line 12 of file PortBinding.h.

Member Typedef Documentation

- -

◆ ArraySubscriptsExprType

+ +

◆ ArraySubscriptsExprType

Public Member Functions

 
const std::string getCalleePortName () const
 
ArraySubscriptsExprType getCallerArraySubscripts () const
ArraySubscriptsExprType getCallerArraySubscripts () const
 
ArraySubscriptsExprType getCallerPortArraySubscripts () const
ArraySubscriptsExprType getCallerPortArraySubscripts () const
 
ArraySubscriptsExprType getCalleeArraySubscripts () const
ArraySubscriptsExprType getCalleeArraySubscripts () const
 
void setInstanceVarName (const std::string &name)
 
 
const clang::Expr * caller_port_array_expr_
 
ArraySubscriptsExprType caller_port_array_subscripts_
ArraySubscriptsExprType caller_port_array_subscripts_
 
const clang::MemberExpr * caller_port_me_expr_
 
ArraySubscriptsExprType caller_array_subscripts_
ArraySubscriptsExprType caller_array_subscripts_
 
const clang::Expr * callee_expr_
 Callee.
 
const clang::ArraySubscriptExpr * callee_array_expr_
 
ArraySubscriptsExprType callee_array_subscripts_
ArraySubscriptsExprType callee_array_subscripts_
 
std::string caller_instance_name_
 We no longer support sc_main parsing.
- +
typedef std::vector<const clang::Expr *> systemc_clang::PortBinding::ArraySubscriptsExprTypestd::vector<const clang::Expr *> systemc_clang::PortBinding::ArraySubscriptsExprType
@@ -216,22 +229,22 @@

systemc_clang::PortBinding::PortBinding ( - clang::Expr * caller_expr, + clang::Expr * caller_expr, - clang::Expr * caller_port_expr, + clang::Expr * caller_port_expr, - clang::MemberExpr * caller_port_me_expr, + clang::MemberExpr * caller_port_me_expr, - clang::Expr * callee_expr, + clang::Expr * callee_expr, @@ -273,7 +286,7 @@

void systemc_clang::PortBinding::dump ( - ) + ) @@ -299,9 +312,9 @@

- + - +
ArraySubscriptsExprType systemc_clang::PortBinding::getCalleeArraySubscripts ArraySubscriptsExprType systemc_clang::PortBinding::getCalleeArraySubscripts ()) const
@@ -328,7 +341,7 @@

const std::string systemc_clang::PortBinding::getCalleeInstanceName ( - ) + ) const @@ -355,7 +368,7 @@

const std::string systemc_clang::PortBinding::getCalleePortName ( - ) + ) const @@ -380,9 +393,9 @@

- + - +
ArraySubscriptsExprType systemc_clang::PortBinding::getCallerArraySubscripts ArraySubscriptsExprType systemc_clang::PortBinding::getCallerArraySubscripts ()) const
@@ -409,7 +422,7 @@

const std::string systemc_clang::PortBinding::getCallerInstanceName ( - ) + ) const @@ -436,7 +449,7 @@

const std::string systemc_clang::PortBinding::getCallerInstanceTypeName ( - ) + ) const @@ -463,7 +476,7 @@

const clang::MemberExpr * systemc_clang::PortBinding::getCallerMemberExpr ( - ) + ) const @@ -488,9 +501,9 @@

- + - +
ArraySubscriptsExprType systemc_clang::PortBinding::getCallerPortArraySubscripts ArraySubscriptsExprType systemc_clang::PortBinding::getCallerPortArraySubscripts ()) const
@@ -517,7 +530,7 @@

const std::string systemc_clang::PortBinding::getCallerPortName ( - ) + ) const @@ -544,7 +557,7 @@

const std::string & systemc_clang::PortBinding::getInstanceConstructorName ( - ) + ) const @@ -571,7 +584,7 @@

const std::string & systemc_clang::PortBinding::getInstanceType ( - ) + ) const @@ -598,7 +611,7 @@

const clang::CXXRecordDecl * systemc_clang::PortBinding::getInstanceTypeDecl ( - ) + ) const @@ -625,7 +638,7 @@

const std::string & systemc_clang::PortBinding::getInstanceVarName ( - ) + ) const @@ -652,7 +665,7 @@

const std::string & systemc_clang::PortBinding::getPortName ( - ) + ) const @@ -733,7 +746,7 @@

const std::string systemc_clang::PortBinding::toString ( - ) + ) const @@ -786,7 +799,7 @@

- +
ArraySubscriptsExprType systemc_clang::PortBinding::callee_array_subscripts_ArraySubscriptsExprType systemc_clang::PortBinding::callee_array_subscripts_
@@ -980,7 +993,7 @@

- +
ArraySubscriptsExprType systemc_clang::PortBinding::caller_array_subscripts_ArraySubscriptsExprType systemc_clang::PortBinding::caller_array_subscripts_
@@ -1128,7 +1141,7 @@

- +
ArraySubscriptsExprType systemc_clang::PortBinding::caller_port_array_subscripts_ArraySubscriptsExprType systemc_clang::PortBinding::caller_port_array_subscripts_
@@ -1292,7 +1305,8 @@

+

diff --git a/classsystemc__clang_1_1PortDecl-members.html b/classsystemc__clang_1_1PortDecl-members.html index 0456625d..b4142cbd 100644 --- a/classsystemc__clang_1_1PortDecl-members.html +++ b/classsystemc__clang_1_1PortDecl-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::PortDecl Member List
@@ -108,7 +121,8 @@
+

diff --git a/classsystemc__clang_1_1PortDecl.html b/classsystemc__clang_1_1PortDecl.html index c21427f8..f948ad25 100644 --- a/classsystemc__clang_1_1PortDecl.html +++ b/classsystemc__clang_1_1PortDecl.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::PortDecl Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Member Functions | @@ -100,10 +113,10 @@ diff --git a/classsystemc__clang_1_1PortDecl__coll__graph.map b/classsystemc__clang_1_1PortDecl__coll__graph.map index acbc1ccf..d6af7606 100644 --- a/classsystemc__clang_1_1PortDecl__coll__graph.map +++ b/classsystemc__clang_1_1PortDecl__coll__graph.map @@ -1,8 +1,8 @@ - + - + diff --git a/classsystemc__clang_1_1PortDecl__coll__graph.png b/classsystemc__clang_1_1PortDecl__coll__graph.png index 725489e8..7114c698 100644 Binary files a/classsystemc__clang_1_1PortDecl__coll__graph.png and b/classsystemc__clang_1_1PortDecl__coll__graph.png differ diff --git a/classsystemc__clang_1_1ProcessDecl-members.html b/classsystemc__clang_1_1ProcessDecl-members.html index 2c82facf..2ec89cd4 100644 --- a/classsystemc__clang_1_1ProcessDecl-members.html +++ b/classsystemc__clang_1_1ProcessDecl-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::ProcessDecl Member List
@@ -98,7 +111,8 @@
+
diff --git a/classsystemc__clang_1_1ProcessDecl.html b/classsystemc__clang_1_1ProcessDecl.html index 97e00141..accd5115 100644 --- a/classsystemc__clang_1_1ProcessDecl.html +++ b/classsystemc__clang_1_1ProcessDecl.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::ProcessDecl Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
- + + +
+
systemc_clang::SignalDecl Member List
@@ -114,7 +127,8 @@
+
diff --git a/classsystemc__clang_1_1SignalDecl.html b/classsystemc__clang_1_1SignalDecl.html index 9e2279eb..9ed5bcf9 100644 --- a/classsystemc__clang_1_1SignalDecl.html +++ b/classsystemc__clang_1_1SignalDecl.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SignalDecl Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Member Functions | @@ -99,12 +112,12 @@ diff --git a/classsystemc__clang_1_1SignalDecl__coll__graph.map b/classsystemc__clang_1_1SignalDecl__coll__graph.map index d3ed3ca9..12b11f45 100644 --- a/classsystemc__clang_1_1SignalDecl__coll__graph.map +++ b/classsystemc__clang_1_1SignalDecl__coll__graph.map @@ -1,10 +1,10 @@ - - + + - + diff --git a/classsystemc__clang_1_1SignalDecl__coll__graph.png b/classsystemc__clang_1_1SignalDecl__coll__graph.png index 083843d3..a4aa9ef2 100644 Binary files a/classsystemc__clang_1_1SignalDecl__coll__graph.png and b/classsystemc__clang_1_1SignalDecl__coll__graph.png differ diff --git a/classsystemc__clang_1_1SplitCFG-members.html b/classsystemc__clang_1_1SplitCFG-members.html index fddfee8b..20bb88f9 100644 --- a/classsystemc__clang_1_1SplitCFG-members.html +++ b/classsystemc__clang_1_1SplitCFG-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::SplitCFG Member List
@@ -125,7 +138,7 @@ isTruePath(const SplitCFGBlock *parent_block, const SplitCFGBlock *block) constsystemc_clang::SplitCFG next_state_count_systemc_clang::SplitCFGprivate operator=(const SplitCFG &)=deletesystemc_clang::SplitCFG - outter_topsystemc_clang::SplitCFG + outter_top_systemc_clang::SplitCFGprivate path_info_systemc_clang::SplitCFGprivate paths_systemc_clang::SplitCFGprivate popping_systemc_clang::SplitCFG @@ -153,7 +166,8 @@
+
diff --git a/classsystemc__clang_1_1SplitCFG.html b/classsystemc__clang_1_1SplitCFG.html index 555a6abc..fa0d27d2 100644 --- a/classsystemc__clang_1_1SplitCFG.html +++ b/classsystemc__clang_1_1SplitCFG.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SplitCFG Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -213,8 +226,6 @@ - -

Public Attributes

SplitCFGBlockoutter_top
 
bool popping_
 
@@ -282,6 +293,9 @@ + + +
 
std::map< SplitCFGBlock *, SplitCFGBlock * > cop_
 
SplitCFGBlockoutter_top_
 This is the pointer to the outtermost ternary operator.
 

Detailed Description

@@ -472,7 +486,7 @@

SplitCFG::~SplitCFG ( - ) + ) @@ -502,7 +516,7 @@

void SplitCFG::addNextStatesToBlocks ( - ) + ) @@ -548,7 +562,7 @@

void SplitCFG::addPredecessors ( - SplitCFGBlock * to, + SplitCFGBlock * to, @@ -581,7 +595,7 @@

void SplitCFG::addSuccessors ( - SplitCFGBlock * to, + SplitCFGBlock * to, @@ -611,17 +625,17 @@

void SplitCFG::addSuccessorToVisitOrPop ( - bool parent_has_wait, + bool parent_has_wait, - const SplitCFGBlock * BB, + const SplitCFGBlock * BB, - llvm::SmallVector< std::pair< const SplitCFGBlock *, SplitCFGBlock::const_succ_iterator >, 8 > & to_visit, + llvm::SmallVector< std::pair< const SplitCFGBlock *, SplitCFGBlock::const_succ_iterator >, 8 > & to_visit, @@ -668,7 +682,7 @@

void SplitCFG::createUnsplitBlocks ( - ) + ) @@ -699,7 +713,7 @@

void SplitCFG::createWaitSplitCFGBlocks ( - clang::CFGBlock * block, + clang::CFGBlock * block, @@ -737,7 +751,7 @@

void SplitCFG::dfs_rework ( - ) + ) @@ -758,22 +772,22 @@

const SplitCFG::SplitCFGPath SplitCFG::dfs_visit_wait ( - const SplitCFGBlock * BB, + const SplitCFGBlock * BB, - llvm::SmallPtrSet< const SplitCFGBlock *, 32 > & visited_blocks, + llvm::SmallPtrSet< const SplitCFGBlock *, 32 > & visited_blocks, - llvm::SmallVectorImpl< const SplitCFGBlock * > & waits_to_visit, + llvm::SmallVectorImpl< const SplitCFGBlock * > & waits_to_visit, - llvm::SmallPtrSetImpl< const SplitCFGBlock * > & visited_waits, + llvm::SmallPtrSetImpl< const SplitCFGBlock * > & visited_waits, @@ -820,7 +834,7 @@

void SplitCFG::dump ( - ) + ) const @@ -841,7 +855,7 @@

void SplitCFG::dumpAllPathInfo ( - ) + ) const @@ -879,7 +893,7 @@

void SplitCFG::dumpPathInfo ( - ) + ) const @@ -898,7 +912,7 @@

void SplitCFG::dumpPaths ( - ) + ) const @@ -920,7 +934,7 @@

void SplitCFG::dumpSCCFG ( - ) + ) const @@ -960,7 +974,7 @@

-

Definition at line 247 of file SplitCFG.h.

+

Definition at line 251 of file SplitCFG.h.

@@ -1002,7 +1016,7 @@

void SplitCFG::dumpToDot ( - ) + ) const @@ -1043,7 +1057,7 @@

void SplitCFG::dumpWaitNextStates ( - ) + ) const @@ -1062,7 +1076,7 @@

void SplitCFG::generate_paths ( - ) + ) @@ -1083,7 +1097,7 @@

const llvm::SmallVector< std::unordered_map< const SplitCFGBlock *, SplitCFGPathInfo > > & SplitCFG::getAllPathInfo ( - ) + ) const @@ -1102,7 +1116,7 @@

std::map< SplitCFGBlock *, SplitCFGBlock * > SplitCFG::getConfluenceBlocks ( - ) + ) const @@ -1111,7 +1125,7 @@

Definition at line 1173 of file SplitCFG.cpp.

+

Definition at line 1175 of file SplitCFG.cpp.

@@ -1124,7 +1138,7 @@

const std::unordered_map< const SplitCFGBlock *, SplitCFGPathInfo > & SplitCFG::getPathInfo ( - ) + ) const @@ -1143,7 +1157,7 @@

const llvm::SmallVectorImpl< llvm::SmallVector< SplitCFG::SplitCFGPathPair > > & SplitCFG::getPathsFound ( - ) + ) @@ -1164,17 +1178,17 @@

bool SplitCFG::getUnvisitedSuccessor ( - const SplitCFGBlock * curr_block, + const SplitCFGBlock * curr_block, - SplitCFGBlock::const_succ_iterator & I, + SplitCFGBlock::const_succ_iterator & I, - llvm::SmallPtrSetImpl< const SplitCFGBlock * > & visited, + llvm::SmallPtrSetImpl< const SplitCFGBlock * > & visited, @@ -1219,7 +1233,7 @@

void SplitCFG::identifyConfluenceBlocks ( - ) + ) @@ -1227,7 +1241,7 @@

Definition at line 1216 of file SplitCFG.cpp.

+

Definition at line 1218 of file SplitCFG.cpp.

@@ -1240,13 +1254,13 @@

std::set< SplitCFGBlock * > SplitCFG::identifySkipBlocks ( - ) + )

-

Definition at line 1177 of file SplitCFG.cpp.

+

Definition at line 1179 of file SplitCFG.cpp.

@@ -1374,7 +1388,7 @@

bool SplitCFG::isTruePath ( - const SplitCFGBlock * parent_block, + const SplitCFGBlock * parent_block, @@ -1400,7 +1414,7 @@

SplitCFG & systemc_clang::SplitCFG::operator= ( - const SplitCFG & ) + const SplitCFG & ) @@ -1424,7 +1438,7 @@

void SplitCFG::preparePathInfo ( - ) + ) @@ -1443,12 +1457,12 @@

void SplitCFG::setDifference ( - const llvm::SmallPtrSetImpl< const SplitCFGBlock * > & larger, + const llvm::SmallPtrSetImpl< const SplitCFGBlock * > & larger, - const llvm::SmallPtrSetImpl< const SplitCFGBlock * > & smaller, + const llvm::SmallPtrSetImpl< const SplitCFGBlock * > & smaller, @@ -1473,7 +1487,7 @@

void SplitCFG::setFalsePathInfo ( - const SplitCFGBlock * sblock, + const SplitCFGBlock * sblock, @@ -1496,17 +1510,17 @@

void SplitCFG::setTruePathInfo ( - const SplitCFGBlock * sblock, + const SplitCFGBlock * sblock, - const SplitCFGPath & newly_visited, + const SplitCFGPath & newly_visited, - int ix = -1 ) + int ix = -1 )

@@ -1558,7 +1572,7 @@

void SplitCFG::updateVisitedBlocks ( - llvm::SmallPtrSetImpl< const SplitCFGBlock * > & to, + llvm::SmallPtrSetImpl< const SplitCFGBlock * > & to, @@ -1724,19 +1738,29 @@

-

◆ outter_top

+ +

◆ outter_top_

+ + + + + +
- +
SplitCFGBlock* systemc_clang::SplitCFG::outter_topSplitCFGBlock* systemc_clang::SplitCFG::outter_top_
+
+private
-

Definition at line 244 of file SplitCFG.h.

+

This is the pointer to the outtermost ternary operator.

+ +

Definition at line 147 of file SplitCFG.h.

@@ -1804,7 +1828,7 @@

-

Definition at line 320 of file SplitCFG.h.

+

Definition at line 324 of file SplitCFG.h.

@@ -1941,7 +1965,8 @@

+

diff --git a/classsystemc__clang_1_1SplitCFGBlock-members.html b/classsystemc__clang_1_1SplitCFGBlock-members.html index 3670635e..f383a3dd 100644 --- a/classsystemc__clang_1_1SplitCFGBlock-members.html +++ b/classsystemc__clang_1_1SplitCFGBlock-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsystemc__clang_1_1SplitCFGBlock.html b/classsystemc__clang_1_1SplitCFGBlock.html index 9be8cc4c..e894abcf 100644 --- a/classsystemc__clang_1_1SplitCFGBlock.html +++ b/classsystemc__clang_1_1SplitCFGBlock.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SplitCFGBlock Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Classes | @@ -372,7 +385,7 @@

SplitCFGBlock::SplitCFGBlock ( - ) + ) @@ -418,7 +431,7 @@

const_succ_iterator_range systemc_clang::SplitCFGBlock::const_succs ( - ) + ) @@ -442,7 +455,7 @@

void SplitCFGBlock::dump ( - ) + ) const @@ -462,7 +475,7 @@

void SplitCFGBlock::dumpColored ( - ) + ) const @@ -481,7 +494,7 @@

unsigned int SplitCFGBlock::getBlockID ( - ) + ) const @@ -502,7 +515,7 @@

const clang::CFGBlock * SplitCFGBlock::getCFGBlock ( - ) + ) const @@ -523,7 +536,7 @@

const SplitCFGBlock::VectorCFGElementPtrImpl & SplitCFGBlock::getElements ( - ) + ) const @@ -544,7 +557,7 @@

unsigned int SplitCFGBlock::getNextState ( - ) + ) const @@ -565,7 +578,7 @@

std::size_t SplitCFGBlock::getNumOfElements ( - ) + ) const @@ -586,7 +599,7 @@

const SplitCFGBlock::VectorSplitCFGBlockPtrImpl & SplitCFGBlock::getPredecessors ( - ) + ) const @@ -607,7 +620,7 @@

const SplitCFGBlock::VectorSplitCFGBlockPtrImpl & SplitCFGBlock::getSuccessors ( - ) + ) const @@ -628,7 +641,7 @@

llvm::APInt SplitCFGBlock::getWaitArg ( - ) + ) const @@ -649,7 +662,7 @@

bool SplitCFGBlock::hasTerminatorBreak ( - ) + ) const @@ -670,7 +683,7 @@

bool SplitCFGBlock::hasTerminatorWait ( - ) + ) const @@ -691,7 +704,7 @@

bool SplitCFGBlock::hasWait ( - ) + ) const @@ -755,7 +768,7 @@

bool SplitCFGBlock::isConditional ( - ) + ) const @@ -776,7 +789,7 @@

bool SplitCFGBlock::isLoopWithTwoSuccessors ( - ) + ) const @@ -827,7 +840,7 @@

SuccessorIterator::const_iterator systemc_clang::SplitCFGBlock::succ_begin ( - ) + ) const @@ -854,7 +867,7 @@

bool systemc_clang::SplitCFGBlock::succ_empty ( - ) + ) const @@ -881,7 +894,7 @@

SuccessorIterator::const_iterator systemc_clang::SplitCFGBlock::succ_end ( - ) + ) const @@ -1259,7 +1272,8 @@

+

diff --git a/classsystemc__clang_1_1SplitCFGPathInfo-members.html b/classsystemc__clang_1_1SplitCFGPathInfo-members.html index 345fa375..0dd72da7 100644 --- a/classsystemc__clang_1_1SplitCFGPathInfo-members.html +++ b/classsystemc__clang_1_1SplitCFGPathInfo-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +

diff --git a/classsystemc__clang_1_1SplitCFGPathInfo.html b/classsystemc__clang_1_1SplitCFGPathInfo.html index d0e5a6c1..5645d7d5 100644 --- a/classsystemc__clang_1_1SplitCFGPathInfo.html +++ b/classsystemc__clang_1_1SplitCFGPathInfo.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SplitCFGPathInfo Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__clang_1_1SplitCFG__coll__graph.md5 b/classsystemc__clang_1_1SplitCFG__coll__graph.md5 index 1fd28f5b..dd8eddcb 100644 --- a/classsystemc__clang_1_1SplitCFG__coll__graph.md5 +++ b/classsystemc__clang_1_1SplitCFG__coll__graph.md5 @@ -1 +1 @@ -6e872e104187abc901b5e9cfc04270ff \ No newline at end of file +2052ffd2efcb8f8d4e423ac66a5caaf4 \ No newline at end of file diff --git a/classsystemc__clang_1_1SplitCFG__coll__graph.png b/classsystemc__clang_1_1SplitCFG__coll__graph.png index d13870e6..d9f6b0e8 100644 Binary files a/classsystemc__clang_1_1SplitCFG__coll__graph.png and b/classsystemc__clang_1_1SplitCFG__coll__graph.png differ diff --git a/classsystemc__clang_1_1State-members.html b/classsystemc__clang_1_1State-members.html index aff456f2..4d23a3d9 100644 --- a/classsystemc__clang_1_1State-members.html +++ b/classsystemc__clang_1_1State-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::State Member List
@@ -107,7 +120,8 @@
+
diff --git a/classsystemc__clang_1_1State.html b/classsystemc__clang_1_1State.html index 8676ba86..05a3e2e9 100644 --- a/classsystemc__clang_1_1State.html +++ b/classsystemc__clang_1_1State.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::State Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
diff --git a/classsystemc__clang_1_1SusCFG-members.html b/classsystemc__clang_1_1SusCFG-members.html index 6bfb5770..ffe209a4 100644 --- a/classsystemc__clang_1_1SusCFG-members.html +++ b/classsystemc__clang_1_1SusCFG-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::SusCFG Member List
@@ -121,7 +134,8 @@
+

diff --git a/classsystemc__clang_1_1SusCFG.html b/classsystemc__clang_1_1SusCFG.html index 48f68e76..d5d98e3a 100644 --- a/classsystemc__clang_1_1SusCFG.html +++ b/classsystemc__clang_1_1SusCFG.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SusCFG Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
diff --git a/classsystemc__clang_1_1SuspensionAutomata-members.html b/classsystemc__clang_1_1SuspensionAutomata-members.html index ff73ba77..2efa3582 100644 --- a/classsystemc__clang_1_1SuspensionAutomata-members.html +++ b/classsystemc__clang_1_1SuspensionAutomata-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::SuspensionAutomata Member List
@@ -114,25 +127,26 @@ isWaitCall(const CFGStmt *cs)systemc_clang::SuspensionAutomata modifDFS(SusCFG *, State *)systemc_clang::SuspensionAutomata removeDuplicate(vec vecInput)systemc_clang::Utilityinline - stateCommonCodeBlockMapType typedefsystemc_clang::SuspensionAutomata - stateCommonCodeBlockPairType typedefsystemc_clang::SuspensionAutomata + stateCommonCodeBlockMapType typedefsystemc_clang::SuspensionAutomata + stateCommonCodeBlockPairType typedefsystemc_clang::SuspensionAutomata strip(string s, string sub)systemc_clang::Utilityinline susCFGStateMapsystemc_clang::SuspensionAutomataprivate - susCFGStateMapType typedefsystemc_clang::SuspensionAutomata - susCFGStatePairType typedefsystemc_clang::SuspensionAutomata + susCFGStateMapType typedefsystemc_clang::SuspensionAutomata + susCFGStatePairType typedefsystemc_clang::SuspensionAutomata susCFGSuccIDMapsystemc_clang::SuspensionAutomataprivate - susCFGSuccIDMapType typedefsystemc_clang::SuspensionAutomata - susCFGSuccIDPairType typedefsystemc_clang::SuspensionAutomata - susCFGVectorType typedefsystemc_clang::SuspensionAutomata + susCFGSuccIDMapType typedefsystemc_clang::SuspensionAutomata + susCFGSuccIDPairType typedefsystemc_clang::SuspensionAutomata + susCFGVectorType typedefsystemc_clang::SuspensionAutomata SuspensionAutomata(vector< WaitContainer * >, CXXMethodDecl *, ASTContext *, llvm::raw_ostream &)systemc_clang::SuspensionAutomata tabit(raw_ostream &os, int tabn)systemc_clang::Utilityinline - transitionVectorType typedefsystemc_clang::SuspensionAutomata + transitionVectorType typedefsystemc_clang::SuspensionAutomata Utility()systemc_clang::Utilityinline ~SuspensionAutomata()systemc_clang::SuspensionAutomata
+
diff --git a/classsystemc__clang_1_1SuspensionAutomata.html b/classsystemc__clang_1_1SuspensionAutomata.html index 952d3417..1b18c483 100644 --- a/classsystemc__clang_1_1SuspensionAutomata.html +++ b/classsystemc__clang_1_1SuspensionAutomata.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SuspensionAutomata Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Types | @@ -109,22 +122,22 @@ - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + +

Public Types

typedef vector< SusCFG * > susCFGVectorType
 
typedef vector< Transition * > transitionVectorType
 
typedef pair< SusCFG *, int > susCFGSuccIDPairType
 
typedef map< SusCFG *, int > susCFGSuccIDMapType
 
typedef pair< SusCFG *, State * > susCFGStatePairType
 
typedef map< SusCFG *, State * > susCFGStateMapType
 
typedef pair< State *, vector< SusCFG * > > stateCommonCodeBlockPairType
 
typedef map< State *, vector< SusCFG * > > stateCommonCodeBlockMapType
 
typedef vector< SusCFG * > susCFGVectorType
 
typedef vector< Transition * > transitionVectorType
 
typedef pair< SusCFG *, int > susCFGSuccIDPairType
 
typedef map< SusCFG *, int > susCFGSuccIDMapType
 
typedef pair< SusCFG *, State * > susCFGStatePairType
 
typedef map< SusCFG *, State * > susCFGStateMapType
 
typedef pair< State *, vector< SusCFG * > > stateCommonCodeBlockPairType
 
typedef map< State *, vector< SusCFG * > > stateCommonCodeBlockMapType
 
@@ -166,9 +179,9 @@ - + - + @@ -197,9 +210,9 @@ - + - + @@ -207,25 +220,25 @@ - + - + - +

Public Member Functions

 
void addSimTime (float)
 
susCFGVectorType getSusCFG ()
susCFGVectorType getSusCFG ()
 
transitionVectorType getSauto ()
transitionVectorType getSauto ()
 
void dumpSusCFG ()
 
 
vector< CallExpr * > _waitCalls
 
susCFGSuccIDMapType susCFGSuccIDMap
susCFGSuccIDMapType susCFGSuccIDMap
 
susCFGStateMapType susCFGStateMap
susCFGStateMapType susCFGStateMap
 
unique_ptr< CFG > _cfg
 
 
raw_ostream & _os
 
susCFGVectorType _susCFGVector
susCFGVectorType _susCFGVector
 
transitionVectorType _transitionVector
transitionVectorType _transitionVector
 
stateCommonCodeBlockMapType _stateCommonCodeBlockMap
stateCommonCodeBlockMapType _stateCommonCodeBlockMap
 

Detailed Description

Definition at line 120 of file SuspensionAutomata.h.

Member Typedef Documentation

- -

◆ stateCommonCodeBlockMapType

+ +

◆ stateCommonCodeBlockMapType

@@ -234,14 +247,14 @@

-

◆ stateCommonCodeBlockPairType

+ +

◆ stateCommonCodeBlockPairType

@@ -250,14 +263,14 @@

-

◆ susCFGStateMapType

+ +

◆ susCFGStateMapType

@@ -266,14 +279,14 @@

-

◆ susCFGStatePairType

+ +

◆ susCFGStatePairType

@@ -282,14 +295,14 @@

-

◆ susCFGSuccIDMapType

+ +

◆ susCFGSuccIDMapType

@@ -298,14 +311,14 @@

-

◆ susCFGSuccIDPairType

+ +

◆ susCFGSuccIDPairType

@@ -314,14 +327,14 @@

-

◆ susCFGVectorType

+ +

◆ susCFGVectorType

@@ -330,14 +343,14 @@

-

◆ transitionVectorType

+ +

◆ transitionVectorType

@@ -356,22 +369,22 @@

SuspensionAutomata::SuspensionAutomata ( - vector< WaitContainer * > , + vector< WaitContainer * > , - CXXMethodDecl * , + CXXMethodDecl * , - ASTContext * , + ASTContext * , - llvm::raw_ostream &  ) + llvm::raw_ostream &  )

@@ -389,7 +402,7 @@

SuspensionAutomata::~SuspensionAutomata ( - ) + ) @@ -409,7 +422,7 @@

void systemc_clang::SuspensionAutomata::addEvent ( - string ) + string ) @@ -426,7 +439,7 @@

void SuspensionAutomata::addRemainingBlocks ( - State * initialState, + State * initialState, @@ -449,7 +462,7 @@

void systemc_clang::SuspensionAutomata::addSimTime ( - float ) + float ) @@ -466,7 +479,7 @@

void SuspensionAutomata::checkInsert ( - vector< SusCFG * > source, + vector< SusCFG * > source, @@ -489,7 +502,7 @@

void SuspensionAutomata::dumpSauto ( - ) + ) @@ -508,7 +521,7 @@

void SuspensionAutomata::dumpSusCFG ( - ) + ) @@ -527,7 +540,7 @@

void SuspensionAutomata::genSauto ( - ) + ) @@ -546,7 +559,7 @@

void SuspensionAutomata::genSusCFG ( - ) + ) @@ -601,9 +614,9 @@

- + - +
SuspensionAutomata::transitionVectorType SuspensionAutomata::getSauto SuspensionAutomata::transitionVectorType SuspensionAutomata::getSauto ())
@@ -620,9 +633,9 @@

- + - +
SuspensionAutomata::susCFGVectorType SuspensionAutomata::getSusCFG SuspensionAutomata::susCFGVectorType SuspensionAutomata::getSusCFG ())
@@ -660,7 +673,7 @@

bool SuspensionAutomata::initialize ( - ) + ) @@ -717,7 +730,7 @@

bool SuspensionAutomata::isFound ( - vector< SusCFG * > visitedState, + vector< SusCFG * > visitedState, @@ -740,7 +753,7 @@

bool SuspensionAutomata::isFound ( - vector< Transition * > visitedState, + vector< Transition * > visitedState, @@ -801,7 +814,7 @@

vector< SusCFG * > SuspensionAutomata::modifDFS ( - SusCFG * block, + SusCFG * block, @@ -922,7 +935,7 @@

- +
stateCommonCodeBlockMapType systemc_clang::SuspensionAutomata::_stateCommonCodeBlockMapstateCommonCodeBlockMapType systemc_clang::SuspensionAutomata::_stateCommonCodeBlockMap
@@ -946,7 +959,7 @@

- +
susCFGVectorType systemc_clang::SuspensionAutomata::_susCFGVectorsusCFGVectorType systemc_clang::SuspensionAutomata::_susCFGVector
@@ -970,7 +983,7 @@

- +
transitionVectorType systemc_clang::SuspensionAutomata::_transitionVectortransitionVectorType systemc_clang::SuspensionAutomata::_transitionVector
@@ -1018,7 +1031,7 @@

- +
susCFGStateMapType systemc_clang::SuspensionAutomata::susCFGStateMapsusCFGStateMapType systemc_clang::SuspensionAutomata::susCFGStateMap
@@ -1042,7 +1055,7 @@

- +
susCFGSuccIDMapType systemc_clang::SuspensionAutomata::susCFGSuccIDMapsusCFGSuccIDMapType systemc_clang::SuspensionAutomata::susCFGSuccIDMap
@@ -1063,7 +1076,8 @@

+

diff --git a/classsystemc__clang_1_1SystemCClang-members.html b/classsystemc__clang_1_1SystemCClang-members.html index 3534e700..290d56a9 100644 --- a/classsystemc__clang_1_1SystemCClang-members.html +++ b/classsystemc__clang_1_1SystemCClang-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::SystemCClang Member List
@@ -104,7 +117,8 @@
+
diff --git a/classsystemc__clang_1_1SystemCClang.html b/classsystemc__clang_1_1SystemCClang.html index a58af53c..08262c52 100644 --- a/classsystemc__clang_1_1SystemCClang.html +++ b/classsystemc__clang_1_1SystemCClang.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SystemCClang Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Member Functions | @@ -91,8 +104,8 @@ - - + + @@ -105,17 +118,17 @@ - + - - + + - + - +
[legend]
@@ -173,7 +186,7 @@

systemc_clang::SystemCClang::SystemCClang

- + @@ -198,7 +211,8 @@

+ diff --git a/classsystemc__clang_1_1SystemCClangAXN-members.html b/classsystemc__clang_1_1SystemCClangAXN-members.html index 051191a5..9b725792 100644 --- a/classsystemc__clang_1_1SystemCClangAXN-members.html +++ b/classsystemc__clang_1_1SystemCClangAXN-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@

(clang::CompilerInstance & ci, clang::CompilerInstance & ci,
- + + +
+
systemc_clang::SystemCClangAXN Member List
@@ -88,7 +101,8 @@
+

diff --git a/classsystemc__clang_1_1SystemCClangAXN.html b/classsystemc__clang_1_1SystemCClangAXN.html index d6be0aa6..5e5a5e95 100644 --- a/classsystemc__clang_1_1SystemCClangAXN.html +++ b/classsystemc__clang_1_1SystemCClangAXN.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SystemCClangAXN Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__clang_1_1SystemCClang__coll__graph.map b/classsystemc__clang_1_1SystemCClang__coll__graph.map index d78be850..802eb892 100644 --- a/classsystemc__clang_1_1SystemCClang__coll__graph.map +++ b/classsystemc__clang_1_1SystemCClang__coll__graph.map @@ -1,15 +1,15 @@ - + - - + + - + - + diff --git a/classsystemc__clang_1_1SystemCClang__coll__graph.png b/classsystemc__clang_1_1SystemCClang__coll__graph.png index 1e17fb34..b2d36efe 100644 Binary files a/classsystemc__clang_1_1SystemCClang__coll__graph.png and b/classsystemc__clang_1_1SystemCClang__coll__graph.png differ diff --git a/classsystemc__clang_1_1SystemCClang__inherit__graph.map b/classsystemc__clang_1_1SystemCClang__inherit__graph.map index 14552b56..7134d712 100644 --- a/classsystemc__clang_1_1SystemCClang__inherit__graph.map +++ b/classsystemc__clang_1_1SystemCClang__inherit__graph.map @@ -1,8 +1,8 @@ - - + + diff --git a/classsystemc__clang_1_1SystemCConsumer-members.html b/classsystemc__clang_1_1SystemCConsumer-members.html index e06a7641..fba7e765 100644 --- a/classsystemc__clang_1_1SystemCConsumer-members.html +++ b/classsystemc__clang_1_1SystemCConsumer-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::SystemCConsumer Member List
@@ -103,7 +116,8 @@
+
diff --git a/classsystemc__clang_1_1SystemCConsumer.html b/classsystemc__clang_1_1SystemCConsumer.html index 1436e949..71d4d4a7 100644 --- a/classsystemc__clang_1_1SystemCConsumer.html +++ b/classsystemc__clang_1_1SystemCConsumer.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SystemCConsumer Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Member Functions | @@ -94,16 +107,16 @@
Inheritance graph
- + - + - + @@ -118,13 +131,13 @@ - - + + - + - +
[legend]
@@ -188,12 +201,12 @@

SystemCConsumer::SystemCConsumer

- + - +
(clang::CompilerInstance & ci, clang::CompilerInstance & ci,
std::string top = "!none" )std::string top = "!none" )
diff --git a/classsystemc__clang_1_1SystemCConsumer__coll__graph.map b/classsystemc__clang_1_1SystemCConsumer__coll__graph.map index 38557773..20414777 100644 --- a/classsystemc__clang_1_1SystemCConsumer__coll__graph.map +++ b/classsystemc__clang_1_1SystemCConsumer__coll__graph.map @@ -3,11 +3,11 @@ - - + + - + - + diff --git a/classsystemc__clang_1_1SystemCConsumer__coll__graph.png b/classsystemc__clang_1_1SystemCConsumer__coll__graph.png index 459c8351..6b9681c7 100644 Binary files a/classsystemc__clang_1_1SystemCConsumer__coll__graph.png and b/classsystemc__clang_1_1SystemCConsumer__coll__graph.png differ diff --git a/classsystemc__clang_1_1SystemCConsumer__inherit__graph.map b/classsystemc__clang_1_1SystemCConsumer__inherit__graph.map index 3f99da83..5ee07f5b 100644 --- a/classsystemc__clang_1_1SystemCConsumer__inherit__graph.map +++ b/classsystemc__clang_1_1SystemCConsumer__inherit__graph.map @@ -1,14 +1,14 @@ - + - + - + diff --git a/classsystemc__clang_1_1TemplateType-members.html b/classsystemc__clang_1_1TemplateType-members.html index af7120d9..da315447 100644 --- a/classsystemc__clang_1_1TemplateType-members.html +++ b/classsystemc__clang_1_1TemplateType-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::TemplateType Member List
@@ -95,7 +108,8 @@
+

diff --git a/classsystemc__clang_1_1TemplateType.html b/classsystemc__clang_1_1TemplateType.html index e6f279a7..8d965526 100644 --- a/classsystemc__clang_1_1TemplateType.html +++ b/classsystemc__clang_1_1TemplateType.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::TemplateType Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
- + + +
+
systemc_clang::Transition Member List
@@ -101,7 +114,8 @@
+
diff --git a/classsystemc__clang_1_1Transition.html b/classsystemc__clang_1_1Transition.html index d5c8e212..3e98361e 100644 --- a/classsystemc__clang_1_1Transition.html +++ b/classsystemc__clang_1_1Transition.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Transition Class Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Member Functions | @@ -150,7 +163,7 @@

Transition::Transition ( - ) + ) @@ -169,7 +182,7 @@

Transition::~Transition ( - ) + ) @@ -303,7 +316,7 @@

vector< SusCFG * > Transition::returnCodeBlocks ( - ) + ) @@ -322,7 +335,7 @@

State * Transition::returnFinalState ( - ) + ) @@ -341,7 +354,7 @@

State * Transition::returnInitialState ( - ) + ) @@ -360,7 +373,7 @@

int Transition::returnInstanceId ( - ) + ) @@ -474,7 +487,8 @@

+

diff --git a/classsystemc__clang_1_1Tree-members.html b/classsystemc__clang_1_1Tree-members.html index a5374ada..7b5848d2 100644 --- a/classsystemc__clang_1_1Tree-members.html +++ b/classsystemc__clang_1_1Tree-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +
diff --git a/classsystemc__clang_1_1Tree.html b/classsystemc__clang_1_1Tree.html index 9b68e087..b5b470d9 100644 --- a/classsystemc__clang_1_1Tree.html +++ b/classsystemc__clang_1_1Tree.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Tree< T > Class Template Reference + + @@ -32,23 +34,33 @@
- + + +

+
Classes | @@ -98,10 +111,10 @@ - - - - + + + +

Public Types

typedef TreeNode< T > * TreeNodePtr
 
typedef std::vector< TreeNodePtrVectorTreePtr
 
typedef TreeNode< T > * TreeNodePtr
 
typedef std::vector< TreeNodePtrVectorTreePtr
 
@@ -115,25 +128,25 @@ - + - + - + - + - + - + - + - + - + @@ -146,17 +159,17 @@

Public Member Functions

 
std::size_t size () const
 
void setRoot (const TreeNodePtr from)
void setRoot (const TreeNodePtr from)
 
const TreeNodePtr getRoot () const
const TreeNodePtr getRoot () const
 
bool foundNode (TreeNodePtr node) const
bool foundNode (TreeNodePtr node) const
 
bool hasChildren (TreeNodePtr node)
bool hasChildren (TreeNodePtr node)
 
const VectorTreePtrgetChildren (TreeNodePtr node)
const VectorTreePtrgetChildren (TreeNodePtr node)
 
TreeNodePtr addNode (T data)
TreeNodePtr addNode (T data)
 
void addEdge (const TreeNodePtr from, const TreeNodePtr to)
void addEdge (const TreeNodePtr from, const TreeNodePtr to)
 
void resetDiscovered ()
 
std::string bft (TreeNodePtr root)
std::string bft (TreeNodePtr root)
 
std::string dft (TreeNodePtr root=nullptr)
std::string dft (TreeNodePtr root=nullptr)
 
const_dft_iterator begin () const
 
- + - + - + - +

Private Attributes

std::map< TreeNodePtr, std::vector< TreeNodePtr > > adj_list_
std::map< TreeNodePtr, std::vector< TreeNodePtr > > adj_list_
 
TreeNodePtr root_
TreeNodePtr root_
 
bool run_dft_
 
bool run_bft_
 
std::vector< TreeNodePtrnodes_bft_
std::vector< TreeNodePtrnodes_bft_
 
std::vector< TreeNodePtrnodes_dft_
std::vector< TreeNodePtrnodes_dft_
 

Detailed Description

@@ -164,8 +177,8 @@ class systemc_clang::Tree< T >

Definition at line 65 of file Tree.h.

Member Typedef Documentation

- -

◆ TreeNodePtr

+ +

◆ TreeNodePtr

@@ -173,7 +186,7 @@

- typedef TreeNode<T>* systemc_clang::Tree< T >::TreeNodePtr + TreeNode<T>* systemc_clang::Tree< T >::TreeNodePtr

@@ -182,8 +195,8 @@

-

◆ VectorTreePtr

+ +

◆ VectorTreePtr

@@ -191,7 +204,7 @@

- typedef std::vector<TreeNodePtr> systemc_clang::Tree< T >::VectorTreePtr + std::vector<TreeNodePtr> systemc_clang::Tree< T >::VectorTreePtr

@@ -215,7 +228,7 @@

systemc_clang::Tree< T >::Tree ( - ) + ) @@ -273,7 +286,7 @@

systemc_clang::Tree< T >::~Tree ( - ) + ) @@ -303,12 +316,12 @@

void systemc_clang::Tree< T >::addEdge ( - const TreeNodePtr from, + const TreeNodePtr from, - const TreeNodePtr to ) + const TreeNodePtr to ) @@ -334,7 +347,7 @@

- + @@ -365,7 +378,7 @@

dft_iterator systemc_clang::Tree< T >::begin

- +
TreeNodePtr systemc_clang::Tree< T >::addNode TreeNodePtr systemc_clang::Tree< T >::addNode ( T data) ())
@@ -394,7 +407,7 @@

const_dft_iterator systemc_clang::Tree< T >::begin ( - ) + ) const @@ -423,7 +436,7 @@

std::string systemc_clang::Tree< T >::bft ( - TreeNodePtr root) + TreeNodePtr root) @@ -452,7 +465,7 @@

std::string systemc_clang::Tree< T >::dft ( - TreeNodePtr root = nullptr) + TreeNodePtr root = nullptr) @@ -481,7 +494,7 @@

void systemc_clang::Tree< T >::dump ( - llvm::raw_ostream & outstream = llvm::outs()) + llvm::raw_ostream & outstream = llvm::outs()) @@ -510,7 +523,7 @@

dft_iterator systemc_clang::Tree< T >::end ( - ) + ) @@ -539,7 +552,7 @@

const_dft_iterator systemc_clang::Tree< T >::end ( - ) + ) const @@ -568,7 +581,7 @@

bool systemc_clang::Tree< T >::foundNode ( - TreeNodePtr node) + TreeNodePtr node) const @@ -595,9 +608,9 @@

- + - +
const VectorTreePtr & systemc_clang::Tree< T >::getChildren const VectorTreePtr & systemc_clang::Tree< T >::getChildren (TreeNodePtr node)TreeNodePtr node)
@@ -624,9 +637,9 @@

- + - +
const TreeNodePtr systemc_clang::Tree< T >::getRoot const TreeNodePtr systemc_clang::Tree< T >::getRoot ()) const
@@ -655,7 +668,7 @@

bool systemc_clang::Tree< T >::hasChildren ( - TreeNodePtr node) + TreeNodePtr node) @@ -684,7 +697,7 @@

void systemc_clang::Tree< T >::resetDiscovered ( - ) + ) @@ -713,7 +726,7 @@

void systemc_clang::Tree< T >::setRoot ( - const TreeNodePtr from) + const TreeNodePtr from) @@ -742,7 +755,7 @@

std::size_t systemc_clang::Tree< T >::size ( - ) + ) const @@ -770,7 +783,7 @@

- +
std::map<TreeNodePtr, std::vector<TreeNodePtr> > systemc_clang::Tree< T >::adj_list_std::map<TreeNodePtr, std::vector<TreeNodePtr> > systemc_clang::Tree< T >::adj_list_
@@ -796,7 +809,7 @@

- +
std::vector<TreeNodePtr> systemc_clang::Tree< T >::nodes_bft_std::vector<TreeNodePtr> systemc_clang::Tree< T >::nodes_bft_
@@ -822,7 +835,7 @@

- +
std::vector<TreeNodePtr> systemc_clang::Tree< T >::nodes_dft_std::vector<TreeNodePtr> systemc_clang::Tree< T >::nodes_dft_
@@ -848,7 +861,7 @@

- +
TreeNodePtr systemc_clang::Tree< T >::root_TreeNodePtr systemc_clang::Tree< T >::root_
@@ -920,7 +933,8 @@

+

diff --git a/classsystemc__clang_1_1TreeNode-members.html b/classsystemc__clang_1_1TreeNode-members.html index a5c822c8..dfe71ec2 100644 --- a/classsystemc__clang_1_1TreeNode-members.html +++ b/classsystemc__clang_1_1TreeNode-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+
systemc_clang::TreeNode< T > Member List
@@ -101,7 +114,8 @@
+
diff --git a/classsystemc__clang_1_1TreeNode.html b/classsystemc__clang_1_1TreeNode.html index 7e86362e..42f5a756 100644 --- a/classsystemc__clang_1_1TreeNode.html +++ b/classsystemc__clang_1_1TreeNode.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::TreeNode< T > Class Template Reference + + @@ -32,23 +34,33 @@
- + + +
+
Public Member Functions | @@ -222,7 +235,7 @@

virtual systemc_clang::TreeNode< T >::~TreeNode ( - ) + ) @@ -252,7 +265,7 @@

void systemc_clang::TreeNode< T >::dump ( - llvm::raw_ostream & outstream = llvm::outs()) + llvm::raw_ostream & outstream = llvm::outs()) @@ -281,7 +294,7 @@

T systemc_clang::TreeNode< T >::getData ( - ) + ) const @@ -310,7 +323,7 @@

const T * systemc_clang::TreeNode< T >::getDataPtr ( - ) + ) @@ -339,7 +352,7 @@

TreeNode * systemc_clang::TreeNode< T >::getParent ( - ) + ) const @@ -368,7 +381,7 @@

bool systemc_clang::TreeNode< T >::isDiscovered ( - ) + ) const @@ -397,7 +410,7 @@

void systemc_clang::TreeNode< T >::resetDiscovered ( - ) + ) @@ -426,7 +439,7 @@

void systemc_clang::TreeNode< T >::setDiscovered ( - ) + ) @@ -484,7 +497,7 @@

std::string systemc_clang::TreeNode< T >::toString ( - ) + ) const @@ -513,7 +526,7 @@

virtual void systemc_clang::TreeNode< T >::visit ( - llvm::raw_ostream & outstream = llvm::outs()) + llvm::raw_ostream & outstream = llvm::outs()) @@ -617,7 +630,8 @@

+

diff --git a/classsystemc__clang_1_1Tree_1_1const__dft__iterator-members.html b/classsystemc__clang_1_1Tree_1_1const__dft__iterator-members.html index 89d35f94..5fce417d 100644 --- a/classsystemc__clang_1_1Tree_1_1const__dft__iterator-members.html +++ b/classsystemc__clang_1_1Tree_1_1const__dft__iterator-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +

diff --git a/classsystemc__clang_1_1Tree_1_1const__dft__iterator.html b/classsystemc__clang_1_1Tree_1_1const__dft__iterator.html index 914d54d9..55f76b25 100644 --- a/classsystemc__clang_1_1Tree_1_1const__dft__iterator.html +++ b/classsystemc__clang_1_1Tree_1_1const__dft__iterator.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Tree< T >::const_dft_iterator Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Types | @@ -92,14 +105,14 @@ - - + +

Public Types

typedef std::vector< TreeNodePtr > * TreeDFTPtr
 
typedef std::vector< TreeNodePtr > * TreeDFTPtr
 
- + @@ -114,7 +127,7 @@ Private Attributes - + @@ -126,8 +139,8 @@

Definition at line 265 of file Tree.h.

Member Typedef Documentation

- -

◆ TreeDFTPtr

+ +

◆ TreeDFTPtr

@@ -135,7 +148,7 @@

- +

Public Member Functions

 const_dft_iterator (Tree< T > *tree, std::size_t pos)
 
const TreeNodePtroperator* ()
const TreeNodePtroperator* ()
 
const_dft_iteratoroperator++ ()
 
Tree< T > * tree_
 
TreeDFTPtr nodes_dft_
TreeDFTPtr nodes_dft_
 
std::size_t pos_
 
typedef std::vector<TreeNodePtr>* systemc_clang::Tree< T >::const_dft_iterator::TreeDFTPtrstd::vector<TreeNodePtr>* systemc_clang::Tree< T >::const_dft_iterator::TreeDFTPtr
diff --git a/classsystemc__clang_1_1Tree_1_1dft__iterator-members.html b/classsystemc__clang_1_1Tree_1_1dft__iterator-members.html index f04c9fd0..7c37de31 100644 --- a/classsystemc__clang_1_1Tree_1_1dft__iterator-members.html +++ b/classsystemc__clang_1_1Tree_1_1dft__iterator-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ +
diff --git a/classsystemc__clang_1_1Tree_1_1dft__iterator.html b/classsystemc__clang_1_1Tree_1_1dft__iterator.html index 1f117c0c..ca23b4b4 100644 --- a/classsystemc__clang_1_1Tree_1_1dft__iterator.html +++ b/classsystemc__clang_1_1Tree_1_1dft__iterator.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Tree< T >::dft_iterator Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -89,14 +102,14 @@ - - + +

Public Types

typedef std::vector< TreeNodePtr > * TreeDFTPtr
 
typedef std::vector< TreeNodePtr > * TreeDFTPtr
 
- + @@ -111,7 +124,7 @@ Private Attributes - + @@ -122,8 +135,8 @@

Definition at line 309 of file Tree.h.

Member Typedef Documentation

- -

◆ TreeDFTPtr

+ +

◆ TreeDFTPtr

@@ -131,7 +144,7 @@

- +

Public Member Functions

 dft_iterator (Tree< T > *tree, std::size_t pos)
 
TreeNodePtroperator* ()
TreeNodePtroperator* ()
 
dft_iteratoroperator++ ()
 
Tree< T > * tree_
 
TreeDFTPtr nodes_dft_
TreeDFTPtr nodes_dft_
 
std::size_t pos_
 
typedef std::vector<TreeNodePtr>* systemc_clang::Tree< T >::dft_iterator::TreeDFTPtrstd::vector<TreeNodePtr>* systemc_clang::Tree< T >::dft_iterator::TreeDFTPtr
diff --git a/classsystemc__clang_1_1Utility-members.html b/classsystemc__clang_1_1Utility-members.html index 9309e09b..b6544d84 100644 --- a/classsystemc__clang_1_1Utility-members.html +++ b/classsystemc__clang_1_1Utility-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::Utility Member List
@@ -91,7 +104,8 @@
+

diff --git a/classsystemc__clang_1_1Utility.html b/classsystemc__clang_1_1Utility.html index 95fc4384..8fe86dd6 100644 --- a/classsystemc__clang_1_1Utility.html +++ b/classsystemc__clang_1_1Utility.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::Utility Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__clang_1_1Utility__inherit__graph.map b/classsystemc__clang_1_1Utility__inherit__graph.map index 26c3b9f0..76f7f973 100644 --- a/classsystemc__clang_1_1Utility__inherit__graph.map +++ b/classsystemc__clang_1_1Utility__inherit__graph.map @@ -4,6 +4,6 @@ - + diff --git a/classsystemc__clang_1_1WaitCalls-members.html b/classsystemc__clang_1_1WaitCalls-members.html index 9f15ae09..4e4d8c0f 100644 --- a/classsystemc__clang_1_1WaitCalls-members.html +++ b/classsystemc__clang_1_1WaitCalls-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::WaitCalls Member List
@@ -95,7 +108,8 @@
+

diff --git a/classsystemc__clang_1_1WaitCalls.html b/classsystemc__clang_1_1WaitCalls.html index 4340cb02..1c7124f3 100644 --- a/classsystemc__clang_1_1WaitCalls.html +++ b/classsystemc__clang_1_1WaitCalls.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::WaitCalls Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
Public Member Functions | @@ -90,7 +103,7 @@ Public Member Functions

 WaitCalls ()   - WaitCalls (const std::string &, FindWait::waitListType) + WaitCalls (const std::string &, FindWait::waitListType)    WaitCalls (const WaitCalls &)   @@ -99,7 +112,7 @@ std::string getName ()  Get parameters.
  -FindWait::waitListType getWaitList () +FindWait::waitListType getWaitList ()   unsigned int getTotalWaits ()   @@ -110,7 +123,7 @@ Private Attributes

std::string _name   -FindWait::waitListType _waitList +FindWait::waitListType _waitList  

Detailed Description

@@ -126,7 +139,7 @@

WaitCalls::WaitCalls ( - ) + ) @@ -145,12 +158,12 @@

WaitCalls::WaitCalls ( - const std::string & name, + const std::string & name, - FindWait::waitListType waitList ) + FindWait::waitListType waitList )

diff --git a/classsystemc__clang_1_1WaitContainer-members.html b/classsystemc__clang_1_1WaitContainer-members.html index ff2bd8a7..918736af 100644 --- a/classsystemc__clang_1_1WaitContainer-members.html +++ b/classsystemc__clang_1_1WaitContainer-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +

+ +
diff --git a/classsystemc__clang_1_1WaitContainer.html b/classsystemc__clang_1_1WaitContainer.html index 83d5c78a..45eaf335 100644 --- a/classsystemc__clang_1_1WaitContainer.html +++ b/classsystemc__clang_1_1WaitContainer.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::WaitContainer Class Reference + + @@ -32,23 +34,33 @@

- + + +
+
Public Types | @@ -90,8 +103,8 @@ - - + +

Public Types

typedef vector< string > waitArgVectorType
 
typedef vector< string > waitArgVectorType
 
@@ -109,7 +122,7 @@ - + @@ -129,21 +142,21 @@ - +

Public Member Functions

 
CallExpr * getASTNode ()
 
waitArgVectorType getArgList ()
waitArgVectorType getArgList ()
 
void dump (raw_ostream &, int tabn=0)
 
 
unsigned int _numArgs
 
waitArgVectorType _args
waitArgVectorType _args
 

Detailed Description

Definition at line 14 of file WaitContainer.h.

Member Typedef Documentation

- -

◆ waitArgVectorType

+ +

◆ waitArgVectorType

- + + +
+
systemc_hdl::HDLAXN Member List
@@ -90,7 +103,8 @@
+
diff --git a/classsystemc__hdl_1_1HDLAXN.html b/classsystemc__hdl_1_1HDLAXN.html index a8f61fef..c43a17ef 100644 --- a/classsystemc__hdl_1_1HDLAXN.html +++ b/classsystemc__hdl_1_1HDLAXN.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLAXN Class Reference + + @@ -32,23 +34,33 @@
- + + +

+
diff --git a/classsystemc__hdl_1_1HDLBody-members.html b/classsystemc__hdl_1_1HDLBody-members.html index a2c6ba7a..88964788 100644 --- a/classsystemc__hdl_1_1HDLBody-members.html +++ b/classsystemc__hdl_1_1HDLBody-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_hdl::HDLBody Member List
@@ -140,7 +153,8 @@
+
diff --git a/classsystemc__hdl_1_1HDLBody.html b/classsystemc__hdl_1_1HDLBody.html index 40a5f8be..b6026db8 100644 --- a/classsystemc__hdl_1_1HDLBody.html +++ b/classsystemc__hdl_1_1HDLBody.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLBody Class Reference + + @@ -32,23 +34,33 @@

- + + +
+ @@ -352,7 +365,7 @@

-

Definition at line 1285 of file HDLBody.cpp.

+

Definition at line 1300 of file HDLBody.cpp.

@@ -371,7 +384,7 @@

-

Definition at line 1276 of file HDLBody.cpp.

+

Definition at line 1291 of file HDLBody.cpp.

@@ -411,7 +424,7 @@

void systemc_hdl::HDLBody::GetWaitArg ( - hNodep & h_callp, + hNodep & h_callp, @@ -421,7 +434,7 @@

-

Definition at line 1332 of file HDLBody.cpp.

+

Definition at line 1347 of file HDLBody.cpp.

@@ -502,7 +515,7 @@

-

Definition at line 856 of file HDLBody.cpp.

+

Definition at line 871 of file HDLBody.cpp.

@@ -548,7 +561,7 @@

-

Definition at line 1315 of file HDLBody.cpp.

+

Definition at line 1330 of file HDLBody.cpp.

@@ -567,7 +580,7 @@

-

Definition at line 1344 of file HDLBody.cpp.

+

Definition at line 1359 of file HDLBody.cpp.

@@ -586,7 +599,7 @@

-

Definition at line 1146 of file HDLBody.cpp.

+

Definition at line 1161 of file HDLBody.cpp.

@@ -618,22 +631,22 @@

void systemc_hdl::HDLBody::Run ( - Stmt * stmt, + Stmt * stmt, - hNodep & h_top, + hNodep & h_top, - HDLBodyMode runmode, + HDLBodyMode runmode, - HDLType * HDLt_userclassesp = NULL ) + HDLType * HDLt_userclassesp = NULL )

@@ -676,7 +689,7 @@

-

Definition at line 670 of file HDLBody.cpp.

+

Definition at line 685 of file HDLBody.cpp.

@@ -695,7 +708,7 @@

-

Definition at line 453 of file HDLBody.cpp.

+

Definition at line 468 of file HDLBody.cpp.

@@ -733,7 +746,7 @@

-

Definition at line 1042 of file HDLBody.cpp.

+

Definition at line 1057 of file HDLBody.cpp.

@@ -790,7 +803,7 @@

-

Definition at line 543 of file HDLBody.cpp.

+

Definition at line 558 of file HDLBody.cpp.

@@ -828,7 +841,7 @@

-

Definition at line 566 of file HDLBody.cpp.

+

Definition at line 581 of file HDLBody.cpp.

@@ -847,7 +860,7 @@

-

Definition at line 688 of file HDLBody.cpp.

+

Definition at line 703 of file HDLBody.cpp.

@@ -866,7 +879,7 @@

-

Definition at line 871 of file HDLBody.cpp.

+

Definition at line 886 of file HDLBody.cpp.

@@ -904,7 +917,7 @@

-

Definition at line 574 of file HDLBody.cpp.

+

Definition at line 589 of file HDLBody.cpp.

@@ -962,7 +975,7 @@

-

Definition at line 1255 of file HDLBody.cpp.

+

Definition at line 1270 of file HDLBody.cpp.

@@ -981,7 +994,7 @@

-

Definition at line 1111 of file HDLBody.cpp.

+

Definition at line 1126 of file HDLBody.cpp.

@@ -1000,7 +1013,7 @@

-

Definition at line 1084 of file HDLBody.cpp.

+

Definition at line 1099 of file HDLBody.cpp.

@@ -1038,7 +1051,7 @@

-

Definition at line 558 of file HDLBody.cpp.

+

Definition at line 573 of file HDLBody.cpp.

@@ -1057,7 +1070,7 @@

-

Definition at line 967 of file HDLBody.cpp.

+

Definition at line 982 of file HDLBody.cpp.

@@ -1095,7 +1108,7 @@

-

Definition at line 1175 of file HDLBody.cpp.

+

Definition at line 1190 of file HDLBody.cpp.

@@ -1114,7 +1127,7 @@

-

Definition at line 515 of file HDLBody.cpp.

+

Definition at line 530 of file HDLBody.cpp.

@@ -1133,7 +1146,7 @@

-

Definition at line 1228 of file HDLBody.cpp.

+

Definition at line 1243 of file HDLBody.cpp.

@@ -1425,7 +1438,8 @@

+ diff --git a/classsystemc__hdl_1_1HDLBody__coll__graph.map b/classsystemc__hdl_1_1HDLBody__coll__graph.map index d791d781..c2adc2e4 100644 --- a/classsystemc__hdl_1_1HDLBody__coll__graph.map +++ b/classsystemc__hdl_1_1HDLBody__coll__graph.map @@ -9,8 +9,8 @@ - - + + @@ -18,6 +18,6 @@ - + diff --git a/classsystemc__hdl_1_1HDLBody__coll__graph.png b/classsystemc__hdl_1_1HDLBody__coll__graph.png index 236cab5d..428675b7 100644 Binary files a/classsystemc__hdl_1_1HDLBody__coll__graph.png and b/classsystemc__hdl_1_1HDLBody__coll__graph.png differ diff --git a/classsystemc__hdl_1_1HDLConstructorHcode-members.html b/classsystemc__hdl_1_1HDLConstructorHcode-members.html index 20951174..650cf166 100644 --- a/classsystemc__hdl_1_1HDLConstructorHcode-members.html +++ b/classsystemc__hdl_1_1HDLConstructorHcode-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
systemc_hdl::HDLConstructorHcode Member List
@@ -90,18 +103,20 @@ hnewpbsystemc_hdl::HDLConstructorHcodeprivate hnewsenssystemc_hdl::HDLConstructorHcodeprivate HnodeDeepCopy(hNodep hp)systemc_hdl::HDLConstructorHcodeprivate - PopRange(std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate - ProcessCXXConstructorHcode(hNodep xconstructor)systemc_hdl::HDLConstructorHcode - PushRange(hNodep &hp, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate - RemoveSCMethod(hNodep &hp)systemc_hdl::HDLConstructorHcodeprivate - SetupSenslist(hNodep hp)systemc_hdl::HDLConstructorHcodeprivate - SubstituteIndex(hNodep &hp, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate - UnrollBinding(hNodep &hp_orig, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate - UnrollSensitem(hNodep &hp_orig, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate + isSimRelated(hNodep hp)systemc_hdl::HDLConstructorHcodeprivate + PopRange(std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate + ProcessCXXConstructorHcode(hNodep xconstructor)systemc_hdl::HDLConstructorHcode + PushRange(hNodep &hp, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate + RemoveSCMethod(hNodep &hp)systemc_hdl::HDLConstructorHcodeprivate + SetupSenslist(hNodep hp)systemc_hdl::HDLConstructorHcodeprivate + SubstituteIndex(hNodep &hp, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate + UnrollBinding(hNodep &hp_orig, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate + UnrollSensitem(hNodep &hp_orig, std::vector< for_info_t > &for_info)systemc_hdl::HDLConstructorHcodeprivate
+ diff --git a/classsystemc__hdl_1_1HDLConstructorHcode.html b/classsystemc__hdl_1_1HDLConstructorHcode.html index 8e1e6671..8e42f66d 100644 --- a/classsystemc__hdl_1_1HDLConstructorHcode.html +++ b/classsystemc__hdl_1_1HDLConstructorHcode.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLConstructorHcode Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -134,6 +147,8 @@   void CleanupInitHcode (hNodep &hp)   +bool isSimRelated (hNodep hp) +  string ExtractModuleName (hNodep hp)   @@ -160,7 +175,7 @@

systemc_hdl::HDLConstructorHcode::HDLConstructorHcode

- +
())
@@ -199,7 +214,7 @@

-

Definition at line 46 of file HDLHnode.cpp.

+

Definition at line 82 of file HDLHnode.cpp.

@@ -226,7 +241,7 @@

-

Definition at line 152 of file HDLHnode.cpp.

+

Definition at line 219 of file HDLHnode.cpp.

@@ -269,7 +284,7 @@

void systemc_hdl::HDLConstructorHcode::HDLLoop ( - hNodep & hp, + hNodep & hp, @@ -284,7 +299,7 @@

-

Definition at line 483 of file HDLHnode.cpp.

+

Definition at line 352 of file HDLHnode.cpp.

@@ -311,7 +326,34 @@

-

Definition at line 144 of file HDLHnode.cpp.

+

Definition at line 211 of file HDLHnode.cpp.

+ + + + +

◆ isSimRelated()

+ +
+
+ + + + + +
+ + + + + + + +
bool systemc_hdl::HDLConstructorHcode::isSimRelated (hNodep hp)
+
+private
+
+ +

Definition at line 31 of file HDLHnode.cpp.

@@ -338,7 +380,7 @@

-

Definition at line 128 of file HDLHnode.cpp.

+

Definition at line 195 of file HDLHnode.cpp.

@@ -357,7 +399,7 @@

-

Definition at line 541 of file HDLHnode.cpp.

+

Definition at line 410 of file HDLHnode.cpp.

@@ -373,7 +415,7 @@

void systemc_hdl::HDLConstructorHcode::PushRange ( - hNodep & hp, + hNodep & hp, @@ -389,7 +431,7 @@

for loop range for port bindings is expecting 3 simple range arguments. They must be numeric constants. If not, defaults are used. eg. hForStmt NONAME [ hVarAssign NONAME [ hVarref _local_5 NOLIST hLiteral 0 NOLIST ] hBinop < [ hVarref _local_5 NOLIST hLiteral 16 NOLIST ] hUnop ++ [ hVarref _local_5 NOLIST ] hBinop () [ hBinop ARRAYSUBSCRIPT [ hVarref u_encode_ints##s_block NOLIST hVarref _local_5 NOLIST ] hBinop ARRAYSUBSCRIPT [ hVarref c_bb_block NOLIST hVarref _local_5 NOLIST ] ] ]

-

Definition at line 98 of file HDLHnode.cpp.

+

Definition at line 163 of file HDLHnode.cpp.

@@ -416,7 +458,7 @@

-

Definition at line 30 of file HDLHnode.cpp.

+

Definition at line 66 of file HDLHnode.cpp.

@@ -443,7 +485,7 @@

-

Definition at line 390 of file HDLHnode.cpp.

+

Definition at line 252 of file HDLHnode.cpp.

@@ -459,7 +501,7 @@

void systemc_hdl::HDLConstructorHcode::SubstituteIndex ( - hNodep & hp, + hNodep & hp, @@ -474,7 +516,7 @@

-

Definition at line 132 of file HDLHnode.cpp.

+

Definition at line 199 of file HDLHnode.cpp.

@@ -490,7 +532,7 @@

void systemc_hdl::HDLConstructorHcode::UnrollBinding ( - hNodep & hp_orig, + hNodep & hp_orig, @@ -505,7 +547,7 @@

-

Definition at line 218 of file HDLHnode.cpp.

+

Definition at line 243 of file HDLHnode.cpp.

@@ -521,7 +563,7 @@

void systemc_hdl::HDLConstructorHcode::UnrollSensitem ( - hNodep & hp_orig, + hNodep & hp_orig, @@ -536,7 +578,7 @@

-

Definition at line 422 of file HDLHnode.cpp.

+

Definition at line 284 of file HDLHnode.cpp.

@@ -561,7 +603,7 @@

-

Definition at line 102 of file HDLHnode.h.

+

Definition at line 103 of file HDLHnode.h.

@@ -585,7 +627,7 @@

-

Definition at line 103 of file HDLHnode.h.

+

Definition at line 104 of file HDLHnode.h.

@@ -596,7 +638,8 @@

+ diff --git a/classsystemc__hdl_1_1HDLFrontendActionFactory-members.html b/classsystemc__hdl_1_1HDLFrontendActionFactory-members.html index 90306415..e8255b45 100644 --- a/classsystemc__hdl_1_1HDLFrontendActionFactory-members.html +++ b/classsystemc__hdl_1_1HDLFrontendActionFactory-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
systemc_hdl::HDLFrontendActionFactory Member List
@@ -90,7 +103,8 @@
+ diff --git a/classsystemc__hdl_1_1HDLFrontendActionFactory.html b/classsystemc__hdl_1_1HDLFrontendActionFactory.html index ca85e3f8..47850627 100644 --- a/classsystemc__hdl_1_1HDLFrontendActionFactory.html +++ b/classsystemc__hdl_1_1HDLFrontendActionFactory.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLFrontendActionFactory Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsystemc__hdl_1_1HDLMain-members.html b/classsystemc__hdl_1_1HDLMain-members.html index 27d11e00..505b5403 100644 --- a/classsystemc__hdl_1_1HDLMain-members.html +++ b/classsystemc__hdl_1_1HDLMain-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_hdl::HDLMain Member List
@@ -122,7 +135,8 @@
+ diff --git a/classsystemc__hdl_1_1HDLMain.html b/classsystemc__hdl_1_1HDLMain.html index 29b9660a..f97a45cf 100644 --- a/classsystemc__hdl_1_1HDLMain.html +++ b/classsystemc__hdl_1_1HDLMain.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLMain Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -93,8 +106,8 @@ - - + + @@ -105,22 +118,22 @@
Collaboration graph
- - + + - + - + - + @@ -128,21 +141,21 @@ - + - + - - + + - + - +
[legend]
@@ -190,11 +203,11 @@   bool isValidMethod (CXXMethodDecl *method)   -void SCport2hcode (ModuleInstance::portMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map) +void SCport2hcode (ModuleInstance::portMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)   -void SCsig2hcode (ModuleInstance::signalMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map) +void SCsig2hcode (ModuleInstance::signalMapType pmap, hNode::hdlopsEnum h_op, hNodep &h_info, hdecl_name_map_t &mod_vname_map)   -void SCproc2hcode (ModuleInstance::processMapType pm, hNodep &h_top, hNodep &h_port, hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap) +void SCproc2hcode (ModuleInstance::processMapType pm, hNodep &h_top, hNodep &h_port, hdecl_name_map_t &mod_vname_map, overridden_method_map_t &overridden_method_map, resetvar_map_t &threadresetmap)   void SCportbindings2hcode (ModuleInstance *mod, hNodep &h_pb)   @@ -245,17 +258,17 @@

systemc_hdl::HDLMain::HDLMain ( - CompilerInstance & ci, + CompilerInstance & ci, - std::string topModule = "!none", + std::string topModule = "!none", - const std::string & hdl_file_out = "default_hdl.txt" ) + const std::string & hdl_file_out = "default_hdl.txt" ) @@ -283,17 +296,17 @@

systemc_hdl::HDLMain::HDLMain ( - ASTUnit * from_ast, + ASTUnit * from_ast, - std::string topModule = "!none", + std::string topModule = "!none", - const std::string & hdl_file_out = "default_hdl.txt" ) + const std::string & hdl_file_out = "default_hdl.txt" ) @@ -320,7 +333,7 @@

void systemc_hdl::HDLMain::GenerateInstanceNames ( - ModuleInstance * smod, + ModuleInstance * smod, @@ -335,7 +348,7 @@

-

Definition at line 523 of file HDLMain.cpp.

+

Definition at line 550 of file HDLMain.cpp.

@@ -362,7 +375,7 @@

-

Definition at line 570 of file HDLMain.cpp.

+

Definition at line 597 of file HDLMain.cpp.

@@ -378,7 +391,7 @@

void systemc_hdl::HDLMain::MakeResetMap ( - resetvar_map_t & threadresetmap, + resetvar_map_t & threadresetmap, @@ -393,7 +406,7 @@

-

Definition at line 820 of file HDLMain.cpp.

+

Definition at line 847 of file HDLMain.cpp.

@@ -409,7 +422,7 @@

bool systemc_hdl::HDLMain::postFire ( - ) + ) @@ -441,12 +454,12 @@

void systemc_hdl::HDLMain::SCmodule2hcode ( - ModuleInstance * mod, + ModuleInstance * mod, - hNodep & h_module, + hNodep & h_module, @@ -462,7 +475,7 @@

map of overridden method decl and overriding method decl warning: only supporting single inheritance

-

Definition at line 135 of file HDLMain.cpp.

+

Definition at line 145 of file HDLMain.cpp.

@@ -478,17 +491,17 @@

void systemc_hdl::HDLMain::SCport2hcode ( - ModuleInstance::portMapType pmap, + ModuleInstance::portMapType pmap, - hNode::hdlopsEnum h_op, + hNode::hdlopsEnum h_op, - hNodep & h_info, + hNodep & h_info, @@ -503,7 +516,7 @@

-

Definition at line 578 of file HDLMain.cpp.

+

Definition at line 605 of file HDLMain.cpp.

@@ -519,7 +532,7 @@

void systemc_hdl::HDLMain::SCportbindings2hcode ( - ModuleInstance * mod, + ModuleInstance * mod, @@ -534,7 +547,7 @@

-

Definition at line 855 of file HDLMain.cpp.

+

Definition at line 882 of file HDLMain.cpp.

@@ -550,27 +563,27 @@

void systemc_hdl::HDLMain::SCproc2hcode ( - ModuleInstance::processMapType pm, + ModuleInstance::processMapType pm, - hNodep & h_top, + hNodep & h_top, - hNodep & h_port, + hNodep & h_port, - hdecl_name_map_t & mod_vname_map, + hdecl_name_map_t & mod_vname_map, - overridden_method_map_t & overridden_method_map, + overridden_method_map_t & overridden_method_map, @@ -586,7 +599,7 @@

Get the diagnostic engine.

-

Definition at line 750 of file HDLMain.cpp.

+

Definition at line 777 of file HDLMain.cpp.

@@ -602,17 +615,17 @@

void systemc_hdl::HDLMain::SCsig2hcode ( - ModuleInstance::signalMapType pmap, + ModuleInstance::signalMapType pmap, - hNode::hdlopsEnum h_op, + hNode::hdlopsEnum h_op, - hNodep & h_info, + hNodep & h_info, @@ -627,7 +640,7 @@

-

Definition at line 684 of file HDLMain.cpp.

+

Definition at line 711 of file HDLMain.cpp.

@@ -857,7 +870,8 @@

+ diff --git a/classsystemc__hdl_1_1HDLMain__coll__graph.map b/classsystemc__hdl_1_1HDLMain__coll__graph.map index 721995d5..a1328ecb 100644 --- a/classsystemc__hdl_1_1HDLMain__coll__graph.map +++ b/classsystemc__hdl_1_1HDLMain__coll__graph.map @@ -1,20 +1,20 @@ - - + + - + - + - + @@ -22,20 +22,20 @@ - + - + - - + + - + - + diff --git a/classsystemc__hdl_1_1HDLMain__coll__graph.png b/classsystemc__hdl_1_1HDLMain__coll__graph.png index 04b72712..45ef06fb 100644 Binary files a/classsystemc__hdl_1_1HDLMain__coll__graph.png and b/classsystemc__hdl_1_1HDLMain__coll__graph.png differ diff --git a/classsystemc__hdl_1_1HDLMain__inherit__graph.map b/classsystemc__hdl_1_1HDLMain__inherit__graph.map index 110b2f37..529ddddb 100644 --- a/classsystemc__hdl_1_1HDLMain__inherit__graph.map +++ b/classsystemc__hdl_1_1HDLMain__inherit__graph.map @@ -1,8 +1,8 @@ - - + + diff --git a/classsystemc__hdl_1_1HDLPluginAction-members.html b/classsystemc__hdl_1_1HDLPluginAction-members.html index 80476929..e477600d 100644 --- a/classsystemc__hdl_1_1HDLPluginAction-members.html +++ b/classsystemc__hdl_1_1HDLPluginAction-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
systemc_hdl::HDLPluginAction Member List
@@ -86,7 +99,8 @@
+ diff --git a/classsystemc__hdl_1_1HDLPluginAction.html b/classsystemc__hdl_1_1HDLPluginAction.html index afc386c1..ad67c465 100644 --- a/classsystemc__hdl_1_1HDLPluginAction.html +++ b/classsystemc__hdl_1_1HDLPluginAction.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLPluginAction Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsystemc__hdl_1_1HDLThread-members.html b/classsystemc__hdl_1_1HDLThread-members.html index e4ddb7fd..904f4ff4 100644 --- a/classsystemc__hdl_1_1HDLThread-members.html +++ b/classsystemc__hdl_1_1HDLThread-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_hdl::HDLThread Member List
@@ -87,6 +100,8 @@ allmethodecls_systemc_hdl::HDLThreadprivate ast_context_systemc_hdl::HDLThreadprivate CheckVardecls(hNodep &hp, string &cfgblockid)systemc_hdl::HDLThreadprivate + condexp_confluence_block_mapsystemc_hdl::HDLThreadprivate + condexp_skip_block_setsystemc_hdl::HDLThreadprivate diag_esystemc_hdl::HDLThread efc_systemc_hdl::HDLThreadprivate FindStatements(const CFGBlock &B, std::vector< const Stmt * > &SS)systemc_hdl::HDLThreadprivate @@ -137,7 +152,8 @@
+ diff --git a/classsystemc__hdl_1_1HDLThread.html b/classsystemc__hdl_1_1HDLThread.html index 0655784f..b15b6ddb 100644 --- a/classsystemc__hdl_1_1HDLThread.html +++ b/classsystemc__hdl_1_1HDLThread.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLThread Class Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Member Functions | @@ -92,7 +105,7 @@
Collaboration graph
- + @@ -102,7 +115,7 @@ - + @@ -119,11 +132,11 @@ - + - - + +
[legend]
@@ -189,6 +202,10 @@ Private Attributes

SplitCFG scfg   +std::map< SplitCFGBlock *, SplitCFGBlock * > condexp_confluence_block_map +  +std::set< SplitCFGBlock * > condexp_skip_block_sethNodep h_ret   EntryFunctionContainerefc_ @@ -257,42 +274,42 @@

systemc_hdl::HDLThread::HDLThread ( - EntryFunctionContainer * efc, + EntryFunctionContainer * efc, - hNodep & h_top, + hNodep & h_top, - hNodep & h_portsigvarlist, + hNodep & h_portsigvarlist, - clang::DiagnosticsEngine & diag_engine, + clang::DiagnosticsEngine & diag_engine, - const ASTContext & ast_context, + const ASTContext & ast_context, - hdecl_name_map_t & mod_vname_map, + hdecl_name_map_t & mod_vname_map, - hfunc_name_map_t & allmethods, + hfunc_name_map_t & allmethods, - overridden_method_map_t & overridden_method_map, + overridden_method_map_t & overridden_method_map, @@ -318,7 +335,7 @@

systemc_hdl::HDLThread::~HDLThread ( - ) + ) @@ -329,7 +346,7 @@

-

Definition at line 181 of file HDLThread.cpp.

+

Definition at line 185 of file HDLThread.cpp.

@@ -371,7 +388,7 @@

void systemc_hdl::HDLThread::CheckVardecls ( - hNodep & hp, + hNodep & hp, @@ -386,7 +403,7 @@

-

Definition at line 195 of file HDLThread.cpp.

+

Definition at line 199 of file HDLThread.cpp.

@@ -402,7 +419,7 @@

void systemc_hdl::HDLThread::FindStatements ( - const CFGBlock & B, + const CFGBlock & B, @@ -417,7 +434,7 @@

-

Definition at line 253 of file HDLThread.cpp.

+

Definition at line 257 of file HDLThread.cpp.

@@ -433,7 +450,7 @@

void systemc_hdl::HDLThread::FindStatements ( - const SplitCFGBlock * B, + const SplitCFGBlock * B, @@ -448,7 +465,7 @@

-

Definition at line 283 of file HDLThread.cpp.

+

Definition at line 287 of file HDLThread.cpp.

@@ -464,22 +481,22 @@

hNodep systemc_hdl::HDLThread::GenerateBinop ( - string opname, + string opname, - string lhs, + string lhs, - string rhs, + string rhs, - bool rhs_is_literal = true ) + bool rhs_is_literal = true ) @@ -489,7 +506,7 @@

-

Definition at line 616 of file HDLThread.cpp.

+

Definition at line 643 of file HDLThread.cpp.

@@ -505,7 +522,7 @@

void systemc_hdl::HDLThread::GenerateStateUpdate ( - hNodep hstatemethod, + hNodep hstatemethod, @@ -520,7 +537,7 @@

-

Definition at line 560 of file HDLThread.cpp.

+

Definition at line 586 of file HDLThread.cpp.

@@ -547,7 +564,7 @@

-

Definition at line 606 of file HDLThread.cpp.

+

Definition at line 633 of file HDLThread.cpp.

@@ -574,7 +591,7 @@

-

Definition at line 546 of file HDLThread.cpp.

+

Definition at line 572 of file HDLThread.cpp.

@@ -590,12 +607,12 @@

int systemc_hdl::HDLThread::GetFalseLength ( - const SplitCFG::SplitCFGPath & pt, + const SplitCFG::SplitCFGPath & pt, - int cond_node_ix, + int cond_node_ix, @@ -610,7 +627,7 @@

-

Definition at line 321 of file HDLThread.cpp.

+

Definition at line 325 of file HDLThread.cpp.

@@ -637,7 +654,7 @@

-

Definition at line 80 of file HDLThread.h.

+

Definition at line 89 of file HDLThread.h.

@@ -664,7 +681,7 @@

-

Definition at line 185 of file HDLThread.cpp.

+

Definition at line 189 of file HDLThread.cpp.

@@ -691,7 +708,7 @@

-

Definition at line 191 of file HDLThread.cpp.

+

Definition at line 195 of file HDLThread.cpp.

@@ -707,7 +724,7 @@

void systemc_hdl::HDLThread::MarkStatements ( - const Stmt * S, + const Stmt * S, @@ -722,7 +739,7 @@

-

Definition at line 244 of file HDLThread.cpp.

+

Definition at line 248 of file HDLThread.cpp.

@@ -749,7 +766,7 @@

-

Definition at line 67 of file HDLThread.h.

+

Definition at line 76 of file HDLThread.h.

@@ -790,7 +807,7 @@

void systemc_hdl::HDLThread::ProcessDeclStmt ( - const DeclStmt * declstmt, + const DeclStmt * declstmt, @@ -806,7 +823,7 @@

called when a declstmt is instantiated more than once can skip the decl, but need to process initializer

-

Definition at line 218 of file HDLThread.cpp.

+

Definition at line 222 of file HDLThread.cpp.

@@ -822,7 +839,7 @@

void systemc_hdl::HDLThread::ProcessHWait ( - hNodep htmp, + hNodep htmp, @@ -837,7 +854,7 @@

-

Definition at line 520 of file HDLThread.cpp.

+

Definition at line 546 of file HDLThread.cpp.

@@ -853,17 +870,17 @@

void systemc_hdl::HDLThread::ProcessSplitGraphBlock ( - const SplitCFG::SplitCFGPath & pt, + const SplitCFG::SplitCFGPath & pt, - int thisix, + int thisix, - int state_num, + int state_num, @@ -878,7 +895,7 @@

-

Definition at line 360 of file HDLThread.cpp.

+

Definition at line 364 of file HDLThread.cpp.

@@ -894,22 +911,22 @@

void systemc_hdl::HDLThread::ProcessSplitGraphGroup ( - const SplitCFG::SplitCFGPath pt, + const SplitCFG::SplitCFGPath pt, - int startix, + int startix, - int num_ele, + int num_ele, - int state_num, + int state_num, @@ -924,7 +941,7 @@

-

Definition at line 339 of file HDLThread.cpp.

+

Definition at line 343 of file HDLThread.cpp.

@@ -951,7 +968,7 @@

-

Definition at line 74 of file HDLThread.h.

+

Definition at line 83 of file HDLThread.h.

@@ -976,7 +993,7 @@

-

Definition at line 46 of file HDLThread.h.

+

Definition at line 55 of file HDLThread.h.

@@ -1000,7 +1017,7 @@

-

Definition at line 52 of file HDLThread.h.

+

Definition at line 61 of file HDLThread.h.

@@ -1024,7 +1041,55 @@

-

Definition at line 110 of file HDLThread.h.

+

Definition at line 119 of file HDLThread.h.

+ + + + +

◆ condexp_confluence_block_map

+ +
+
+ + + + + +
+ + + + +
std::map<SplitCFGBlock*,SplitCFGBlock*> systemc_hdl::HDLThread::condexp_confluence_block_map
+
+private
+
+ +

Definition at line 45 of file HDLThread.h.

+ +
+
+ +

◆ condexp_skip_block_set

+ +
+
+ + + + + +
+ + + + +
std::set<SplitCFGBlock*> systemc_hdl::HDLThread::condexp_skip_block_set
+
+private
+
+ +

Definition at line 46 of file HDLThread.h.

@@ -1064,7 +1129,7 @@

-

Definition at line 40 of file HDLThread.h.

+

Definition at line 49 of file HDLThread.h.

@@ -1088,7 +1153,7 @@

-

Definition at line 49 of file HDLThread.h.

+

Definition at line 58 of file HDLThread.h.

@@ -1112,7 +1177,7 @@

-

Definition at line 39 of file HDLThread.h.

+

Definition at line 48 of file HDLThread.h.

@@ -1136,7 +1201,7 @@

-

Definition at line 41 of file HDLThread.h.

+

Definition at line 50 of file HDLThread.h.

@@ -1160,7 +1225,7 @@

-

Definition at line 43 of file HDLThread.h.

+

Definition at line 52 of file HDLThread.h.

@@ -1184,7 +1249,7 @@

-

Definition at line 42 of file HDLThread.h.

+

Definition at line 51 of file HDLThread.h.

@@ -1208,7 +1273,7 @@

-

Definition at line 108 of file HDLThread.h.

+

Definition at line 117 of file HDLThread.h.

@@ -1248,7 +1313,7 @@

-

Definition at line 47 of file HDLThread.h.

+

Definition at line 56 of file HDLThread.h.

@@ -1272,7 +1337,7 @@

-

Definition at line 61 of file HDLThread.h.

+

Definition at line 70 of file HDLThread.h.

@@ -1296,7 +1361,7 @@

-

Definition at line 55 of file HDLThread.h.

+

Definition at line 64 of file HDLThread.h.

@@ -1320,7 +1385,7 @@

-

Definition at line 63 of file HDLThread.h.

+

Definition at line 72 of file HDLThread.h.

@@ -1344,7 +1409,7 @@

-

Definition at line 57 of file HDLThread.h.

+

Definition at line 66 of file HDLThread.h.

@@ -1368,7 +1433,7 @@

-

Definition at line 60 of file HDLThread.h.

+

Definition at line 69 of file HDLThread.h.

@@ -1392,7 +1457,7 @@

-

Definition at line 53 of file HDLThread.h.

+

Definition at line 62 of file HDLThread.h.

@@ -1416,7 +1481,7 @@

-

Definition at line 72 of file HDLThread.h.

+

Definition at line 81 of file HDLThread.h.

@@ -1440,7 +1505,7 @@

-

Definition at line 59 of file HDLThread.h.

+

Definition at line 68 of file HDLThread.h.

@@ -1488,7 +1553,7 @@

-

Definition at line 70 of file HDLThread.h.

+

Definition at line 79 of file HDLThread.h.

@@ -1512,7 +1577,7 @@

-

Definition at line 64 of file HDLThread.h.

+

Definition at line 73 of file HDLThread.h.

@@ -1536,7 +1601,7 @@

-

Definition at line 54 of file HDLThread.h.

+

Definition at line 63 of file HDLThread.h.

@@ -1560,7 +1625,7 @@

-

Definition at line 65 of file HDLThread.h.

+

Definition at line 74 of file HDLThread.h.

@@ -1584,7 +1649,7 @@

-

Definition at line 45 of file HDLThread.h.

+

Definition at line 54 of file HDLThread.h.

@@ -1608,7 +1673,7 @@

-

Definition at line 56 of file HDLThread.h.

+

Definition at line 65 of file HDLThread.h.

@@ -1632,7 +1697,7 @@

-

Definition at line 58 of file HDLThread.h.

+

Definition at line 67 of file HDLThread.h.

@@ -1656,7 +1721,7 @@

-

Definition at line 51 of file HDLThread.h.

+

Definition at line 60 of file HDLThread.h.

@@ -1667,7 +1732,8 @@

+ diff --git a/classsystemc__hdl_1_1HDLThread__coll__graph.map b/classsystemc__hdl_1_1HDLThread__coll__graph.map index 750a5fed..f63bcbaa 100644 --- a/classsystemc__hdl_1_1HDLThread__coll__graph.map +++ b/classsystemc__hdl_1_1HDLThread__coll__graph.map @@ -1,5 +1,5 @@ - + @@ -9,7 +9,7 @@ - + @@ -26,10 +26,10 @@ - + - - + + diff --git a/classsystemc__hdl_1_1HDLThread__coll__graph.md5 b/classsystemc__hdl_1_1HDLThread__coll__graph.md5 index 7ec18ece..af7c1fad 100644 --- a/classsystemc__hdl_1_1HDLThread__coll__graph.md5 +++ b/classsystemc__hdl_1_1HDLThread__coll__graph.md5 @@ -1 +1 @@ -9949d868aab1c69bbfeb7cff929661e6 \ No newline at end of file +8c51a204d3aa1556c4fc32490be16e69 \ No newline at end of file diff --git a/classsystemc__hdl_1_1HDLThread__coll__graph.png b/classsystemc__hdl_1_1HDLThread__coll__graph.png index 2494d62a..6c8d44e0 100644 Binary files a/classsystemc__hdl_1_1HDLThread__coll__graph.png and b/classsystemc__hdl_1_1HDLThread__coll__graph.png differ diff --git a/classsystemc__hdl_1_1StmtVisitor-members.html b/classsystemc__hdl_1_1StmtVisitor-members.html index 611a0ce2..a6899e47 100644 --- a/classsystemc__hdl_1_1StmtVisitor-members.html +++ b/classsystemc__hdl_1_1StmtVisitor-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
systemc_hdl::StmtVisitor Member List
@@ -100,7 +113,8 @@
+ diff --git a/classsystemc__hdl_1_1StmtVisitor.html b/classsystemc__hdl_1_1StmtVisitor.html index 30ed081c..36922902 100644 --- a/classsystemc__hdl_1_1StmtVisitor.html +++ b/classsystemc__hdl_1_1StmtVisitor.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::StmtVisitor Class Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/classsystemc__hdl_1_1StmtVisitor__coll__graph.map b/classsystemc__hdl_1_1StmtVisitor__coll__graph.map index 68b03d79..c48530eb 100644 --- a/classsystemc__hdl_1_1StmtVisitor__coll__graph.map +++ b/classsystemc__hdl_1_1StmtVisitor__coll__graph.map @@ -2,6 +2,6 @@ - + diff --git a/classsystemc__hdl_1_1StmtVisitor__coll__graph.png b/classsystemc__hdl_1_1StmtVisitor__coll__graph.png index df635e41..96115c39 100644 Binary files a/classsystemc__hdl_1_1StmtVisitor__coll__graph.png and b/classsystemc__hdl_1_1StmtVisitor__coll__graph.png differ diff --git a/comma__transformation_8py.html b/comma__transformation_8py.html index 2c73d437..4d4bb76e 100644 --- a/comma__transformation_8py.html +++ b/comma__transformation_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/comma_transformation.py File Reference + + @@ -32,23 +34,33 @@
- + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.comma_transformation
namespace  parselib.transforms.comma_transformation
 
+
diff --git a/comma__transformation_8py_source.html b/comma__transformation_8py_source.html index 9935ae22..cea72c7e 100644 --- a/comma__transformation_8py_source.html +++ b/comma__transformation_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/comma_transformation.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
comma_transformation.py
@@ -108,15 +116,15 @@
20 def __init__(self):
21 super().__init__()
22 self.broken_down_ops = [] # stores the operations broken down by ,
-
23 self.has_comma = False
+
23 self.has_comma = False
24 self.lifted = set()
-
25 self.nesting_assign = False
+
25 self.nesting_assign = False
26
27 def hcomma(self, tree):
28 self.__push_up(tree)
-
29 self.has_comma = True
+
29 self.has_comma = True
30 lhs, rhs = tree.children
31 self.broken_down_ops.append(lhs)
32 return rhs
@@ -124,13 +132,13 @@
34 def blkassign(self, tree):
-
35 if self.nesting_assign:
+
35 if self.nesting_assign:
36 self.__push_up(tree)
37 lhs, rhs = tree.children
38 self.broken_down_ops.append(tree)
39 return lhs
40 else:
-
41 self.nesting_assign = True
+
41 self.nesting_assign = True
42 self.__push_up(tree)
43 return tree
44
@@ -139,7 +147,7 @@
45 def hbinop(self, tree):
46 op = tree.children[0]
47 if op in ['+=', '-=', '*=', '/=']:
-
48 self.nesting_assign = True
+
48 self.nesting_assign = True
49 self.__push_up(tree)
50 op, lhs, rhs = tree.children
51 if op in ['+=', '-=', '*=', '/=']:
@@ -153,7 +161,7 @@
57 def hnsbinop(self, tree):
58 op = tree.children[0]
59 if op in ['+=', '-=', '*=', '/=']:
-
60 self.nesting_assign = True
+
60 self.nesting_assign = True
61 self.__push_up(tree)
62 op, lhs, rhs = tree.children
63 if op in ['+=', '-=', '*=', '/=']:
@@ -170,7 +178,7 @@
72 new_children = []
73 for ch in tree.children:
74 self.broken_down_ops = []
-
75 self.nesting_assign = False
+
75 self.nesting_assign = False
76 if isinstance(ch, Tree) and is_tree_types(ch, ["hbinop", "hnsbinop", "blkassign"]):
77 ch_new = self.visit(ch)
78 new_children.extend(map(lambda x: Tree('stmt', [x]), self.broken_down_ops))
@@ -183,15 +191,15 @@
- - - + + +
__push_up(self, current_node)
Definition top_down.py:29
@@ -200,7 +208,8 @@ + diff --git a/compound_8py.html b/compound_8py.html index e282c515..3eb21154 100644 --- a/compound_8py.html +++ b/compound_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/compound.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,15 +105,16 @@ - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.compound
namespace  parselib.compound
 
+
diff --git a/compound_8py_source.html b/compound_8py_source.html index e55c7c91..998fa1c6 100644 --- a/compound_8py_source.html +++ b/compound_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/compound.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,11 +87,12 @@ +
compound.py
-Go to the documentation of this file.
1"""Compound type"""
+Go to the documentation of this file.
1"""Compound type"""
2# aggregated type?
3# Aggregated types better created within the class
4
@@ -93,7 +101,7 @@
6class aggregate(object):
7 def __init__(self, params, fields):
-
8 self.fields = fields
+
8 self.fieldsfields = fields
9 self.params = params
10 pass
11
@@ -102,9 +110,9 @@
12 def to_str(self, var_name, context=None):
13 stmts = []
14 idx = 0
-
15 for x, t in self.fields:
+
15 for x, t in self.fieldsfields:
16 pref = var_name + '_' + x
-
17 if idx == len(self.fields) - 1:
+
17 if idx == len(self.fieldsfields) - 1:
18 if context and context.suffix:
19 context.suffix = ''
20 stmts.append(t.to_str(pref, context=context))
@@ -117,6 +125,7 @@
+
__init__(self, params, fields)
Definition compound.py:7
@@ -124,7 +133,8 @@
+
diff --git a/dir_000001_000007.html b/dir_000001_000007.html index 7d38bbc5..dd23295d 100644 --- a/dir_000001_000007.html +++ b/dir_000001_000007.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl -> src Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_000002_000003.html b/dir_000002_000003.html index 65e8cc5c..833fbf5c 100644 --- a/dir_000002_000003.html +++ b/dir_000002_000003.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers -> model Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_000002_000006.html b/dir_000002_000006.html index 56e284bc..e84ea0dd 100644 --- a/dir_000002_000006.html +++ b/dir_000002_000006.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers -> SCuitable Relation + + @@ -32,23 +34,33 @@ - + + + +

matchers → SCuitable Relation

File in src/matchersIncludes file in src/SCuitable
FindSimTime.hUtility.h
+
diff --git a/dir_000002_000009.html b/dir_000002_000009.html index 575b7321..94f91a10 100644 --- a/dir_000002_000009.html +++ b/dir_000002_000009.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers -> utils Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_000003_000002.html b/dir_000003_000002.html index f2f25582..04ff3b2e 100644 --- a/dir_000003_000002.html +++ b/dir_000003_000002.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model -> matchers Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_000003_000006.html b/dir_000003_000006.html index 393bcb9b..5bce5907 100644 --- a/dir_000003_000006.html +++ b/dir_000003_000006.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model -> SCuitable Relation + + @@ -32,23 +34,33 @@ - + + + +

model → SCuitable Relation

File in src/modelIncludes file in src/SCuitable
EntryFunctionContainer.hSuspensionAutomata.h
EntryFunctionContainer.hUtility.h
Model.hFindGPUMacro.h
+
diff --git a/dir_000003_000009.html b/dir_000003_000009.html index 2e114f40..17e51592 100644 --- a/dir_000003_000009.html +++ b/dir_000003_000009.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model -> utils Relation + + @@ -32,23 +34,33 @@ - + + + +
+
diff --git a/dir_000006_000002.html b/dir_000006_000002.html index 316186ae..3c48e98c 100644 --- a/dir_000006_000002.html +++ b/dir_000006_000002.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable -> matchers Relation + + @@ -32,23 +34,33 @@ - + + + +

SCuitable → matchers Relation

File in src/SCuitableIncludes file in src/matchers
SuspensionAutomata.cppFindArgument.h
+
diff --git a/dir_000006_000003.html b/dir_000006_000003.html index 1f675f2e..94539fa8 100644 --- a/dir_000006_000003.html +++ b/dir_000006_000003.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable -> model Relation + + @@ -32,23 +34,33 @@ - + + + +

SCuitable → model Relation

File in src/SCuitableIncludes file in src/model
GlobalSuspensionAutomata.hModel.h
SuspensionAutomata.hWaitContainer.h
+
diff --git a/dir_000007_000002.html b/dir_000007_000002.html index 79914c37..54fb9e79 100644 --- a/dir_000007_000002.html +++ b/dir_000007_000002.html @@ -3,13 +3,15 @@ - + systemc-clang: src -> matchers Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_000007_000003.html b/dir_000007_000003.html index f1fc3d06..3f54a704 100644 --- a/dir_000007_000003.html +++ b/dir_000007_000003.html @@ -3,13 +3,15 @@ - + systemc-clang: src -> model Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_000007_000009.html b/dir_000007_000009.html index 73d2c078..0c768d1a 100644 --- a/dir_000007_000009.html +++ b/dir_000007_000009.html @@ -3,13 +3,15 @@ - + systemc-clang: src -> utils Relation + + @@ -32,23 +34,33 @@ - + + + + diff --git a/dir_1e869ebbc6cce176ee0dbb76743ac339.html b/dir_1e869ebbc6cce176ee0dbb76743ac339.html index 20e8c733..92e62d76 100644 --- a/dir_1e869ebbc6cce176ee0dbb76743ac339.html +++ b/dir_1e869ebbc6cce176ee0dbb76743ac339.html @@ -3,13 +3,15 @@ - + systemc-clang: src/cfg Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
cfg Directory Reference
@@ -103,7 +116,8 @@
+ diff --git a/dir_313caf1132e152dd9b58bea13a4052ca.html b/dir_313caf1132e152dd9b58bea13a4052ca.html index fed796ad..bf455219 100644 --- a/dir_313caf1132e152dd9b58bea13a4052ca.html +++ b/dir_313caf1132e152dd9b58bea13a4052ca.html @@ -3,13 +3,15 @@ - + systemc-clang: src/utils Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
utils Directory Reference
@@ -109,7 +122,8 @@
+ diff --git a/dir_38c8d24aef3972a7f87b834274e76e31.html b/dir_38c8d24aef3972a7f87b834274e76e31.html index f7741cc4..1c801714 100644 --- a/dir_38c8d24aef3972a7f87b834274e76e31.html +++ b/dir_38c8d24aef3972a7f87b834274e76e31.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
plugins Directory Reference
@@ -84,10 +97,10 @@
plugins
- - - - + + + +
@@ -100,7 +113,8 @@
+ diff --git a/dir_38c8d24aef3972a7f87b834274e76e31_dep.map b/dir_38c8d24aef3972a7f87b834274e76e31_dep.map index bf7f8e11..f09175a4 100644 --- a/dir_38c8d24aef3972a7f87b834274e76e31_dep.map +++ b/dir_38c8d24aef3972a7f87b834274e76e31_dep.map @@ -1,7 +1,7 @@ - - - - + + + + diff --git a/dir_38c8d24aef3972a7f87b834274e76e31_dep.png b/dir_38c8d24aef3972a7f87b834274e76e31_dep.png index 7a88d83c..185c132f 100644 Binary files a/dir_38c8d24aef3972a7f87b834274e76e31_dep.png and b/dir_38c8d24aef3972a7f87b834274e76e31_dep.png differ diff --git a/dir_3b9c9f6a3c5668ea97a197dc3c80e986.html b/dir_3b9c9f6a3c5668ea97a197dc3c80e986.html index 7b9bdc74..28668256 100644 --- a/dir_3b9c9f6a3c5668ea97a197dc3c80e986.html +++ b/dir_3b9c9f6a3c5668ea97a197dc3c80e986.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
transforms Directory Reference
@@ -105,6 +118,8 @@    helpers.py   + interface_generation.py literal_expansion.py    name_stub.py @@ -119,8 +134,12 @@    port_expansion.py   + portbinding_recollect.py reorder_mod_init_block.py   + sensevar_movement.py slice_merge.py    sort_var_decl.py @@ -143,7 +162,8 @@
+ diff --git a/dir_4877e45ff5991ad54d541106ac32363b.html b/dir_4877e45ff5991ad54d541106ac32363b.html index cc1b9497..14e11e49 100644 --- a/dir_4877e45ff5991ad54d541106ac32363b.html +++ b/dir_4877e45ff5991ad54d541106ac32363b.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
parselib Directory Reference
@@ -84,7 +97,7 @@
plugins/hdl/parselib
- + @@ -111,7 +124,8 @@
+
diff --git a/dir_4877e45ff5991ad54d541106ac32363b_dep.map b/dir_4877e45ff5991ad54d541106ac32363b_dep.map index 7c679ff3..faf0c648 100644 --- a/dir_4877e45ff5991ad54d541106ac32363b_dep.map +++ b/dir_4877e45ff5991ad54d541106ac32363b_dep.map @@ -1,5 +1,5 @@ - + diff --git a/dir_4877e45ff5991ad54d541106ac32363b_dep.png b/dir_4877e45ff5991ad54d541106ac32363b_dep.png index 0aa46c7b..8d070fac 100644 Binary files a/dir_4877e45ff5991ad54d541106ac32363b_dep.png and b/dir_4877e45ff5991ad54d541106ac32363b_dep.png differ diff --git a/dir_5dd65160827af56e6353642206b80129.html b/dir_5dd65160827af56e6353642206b80129.html index 741e13b5..011d7a4e 100644 --- a/dir_5dd65160827af56e6353642206b80129.html +++ b/dir_5dd65160827af56e6353642206b80129.html @@ -3,13 +3,15 @@ - + systemc-clang: src/model Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
model Directory Reference
@@ -87,7 +100,7 @@ - + @@ -164,7 +177,8 @@
+ diff --git a/dir_5dd65160827af56e6353642206b80129_dep.map b/dir_5dd65160827af56e6353642206b80129_dep.map index 8bc43e75..e963f1e1 100644 --- a/dir_5dd65160827af56e6353642206b80129_dep.map +++ b/dir_5dd65160827af56e6353642206b80129_dep.map @@ -2,7 +2,7 @@ - + diff --git a/dir_68267d1309a1af8e8297ef4c3efbcdba.html b/dir_68267d1309a1af8e8297ef4c3efbcdba.html index 52855187..8c807e27 100644 --- a/dir_68267d1309a1af8e8297ef4c3efbcdba.html +++ b/dir_68267d1309a1af8e8297ef4c3efbcdba.html @@ -3,13 +3,15 @@ - + systemc-clang: src Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
src Directory Reference
@@ -84,33 +97,33 @@
src
- - - - - - + + + + + + - - - - - + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + +
@@ -148,7 +161,8 @@
+ diff --git a/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.map b/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.map index 4ff1e945..a868cd6c 100644 --- a/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.map +++ b/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.map @@ -1,30 +1,30 @@ - - - - - - + + + + + + - - - - - + + + + + - - - - - - - - - - - - - - + + + + + + + + + + + + + + diff --git a/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.png b/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.png index 9c17624e..6b4b443a 100644 Binary files a/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.png and b/dir_68267d1309a1af8e8297ef4c3efbcdba_dep.png differ diff --git a/dir_86b8b06a3e741cba3feb7559e999d976.html b/dir_86b8b06a3e741cba3feb7559e999d976.html index 35e667f7..d7cf661c 100644 --- a/dir_86b8b06a3e741cba3feb7559e999d976.html +++ b/dir_86b8b06a3e741cba3feb7559e999d976.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
hdl Directory Reference
@@ -84,10 +97,10 @@
plugins/hdl
- - - - + + + + @@ -132,7 +145,8 @@
+
diff --git a/dir_86b8b06a3e741cba3feb7559e999d976_dep.map b/dir_86b8b06a3e741cba3feb7559e999d976_dep.map index dce6e59e..6dc4099b 100644 --- a/dir_86b8b06a3e741cba3feb7559e999d976_dep.map +++ b/dir_86b8b06a3e741cba3feb7559e999d976_dep.map @@ -1,8 +1,8 @@ - - - - + + + + diff --git a/dir_86b8b06a3e741cba3feb7559e999d976_dep.png b/dir_86b8b06a3e741cba3feb7559e999d976_dep.png index 71e9ede8..7361ccfc 100644 Binary files a/dir_86b8b06a3e741cba3feb7559e999d976_dep.png and b/dir_86b8b06a3e741cba3feb7559e999d976_dep.png differ diff --git a/dir_895b181de269e9f6949be42a0dafecb9.html b/dir_895b181de269e9f6949be42a0dafecb9.html index 35f8c337..87b66b0a 100644 --- a/dir_895b181de269e9f6949be42a0dafecb9.html +++ b/dir_895b181de269e9f6949be42a0dafecb9.html @@ -3,13 +3,15 @@ - + systemc-clang: src/SCuitable Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
SCuitable Directory Reference
@@ -84,7 +97,7 @@
src/SCuitable
- + @@ -125,7 +138,8 @@
+
diff --git a/dir_895b181de269e9f6949be42a0dafecb9_dep.map b/dir_895b181de269e9f6949be42a0dafecb9_dep.map index c5799d3d..f7190a0d 100644 --- a/dir_895b181de269e9f6949be42a0dafecb9_dep.map +++ b/dir_895b181de269e9f6949be42a0dafecb9_dep.map @@ -1,5 +1,5 @@ - + diff --git a/dir_8c9a2cd459528136298c00436401a402.html b/dir_8c9a2cd459528136298c00436401a402.html index d64f6902..4e419882 100644 --- a/dir_8c9a2cd459528136298c00436401a402.html +++ b/dir_8c9a2cd459528136298c00436401a402.html @@ -3,13 +3,15 @@ - + systemc-clang: src/matchers Directory Reference + + @@ -32,23 +34,33 @@ - + + + +
matchers Directory Reference
@@ -170,7 +183,8 @@
+ diff --git a/doxygen.css b/doxygen.css index 7b7d851b..574b3335 100644 --- a/doxygen.css +++ b/doxygen.css @@ -1,4 +1,4 @@ -/* The standard CSS for doxygen 1.10.0*/ +/* The standard CSS for doxygen 1.12.0*/ html { /* page base colors */ @@ -657,7 +657,24 @@ dl.el { margin-left: -1cm; } +ul.check { + list-style:none; + text-indent: -16px; + padding-left: 38px; +} +li.unchecked:before { + content: "\2610\A0"; +} +li.checked:before { + content: "\2611\A0"; +} + +ol { + text-indent: 0px; +} + ul { + text-indent: 0px; overflow: visible; } @@ -1428,7 +1445,7 @@ table.fieldtable { padding: 3px 7px 2px; } -.fieldtable td.fieldtype, .fieldtable td.fieldname { +.fieldtable td.fieldtype, .fieldtable td.fieldname, .fieldtable td.fieldinit { white-space: nowrap; border-right: 1px solid var(--memdef-border-color); border-bottom: 1px solid var(--memdef-border-color); @@ -1439,6 +1456,12 @@ table.fieldtable { padding-top: 3px; } +.fieldtable td.fieldinit { + padding-top: 3px; + text-align: right; +} + + .fieldtable td.fielddoc { border-bottom: 1px solid var(--memdef-border-color); } @@ -1614,7 +1637,7 @@ dl.note { border-color: #D0C000; } -dl.warning, dl.attention { +dl.warning, dl.attention, dl.important { margin-left: -7px; padding-left: 3px; border-left: 4px solid; @@ -1662,7 +1685,7 @@ dl.bug dt a, dl.deprecated dt a, dl.todo dt a, dl.test a { font-weight: bold !important; } -dl.warning, dl.attention, dl.note, dl.deprecated, dl.bug, +dl.warning, dl.attention, dl.important, dl.note, dl.deprecated, dl.bug, dl.invariant, dl.pre, dl.post, dl.todo, dl.test, dl.remark { padding: 10px; margin: 10px 0px; @@ -1675,13 +1698,13 @@ dl.section dd { margin-bottom: 2px; } -dl.warning, dl.attention { +dl.warning, dl.attention, dl.important { background: var(--warning-color-bg); border-left: 8px solid var(--warning-color-hl); color: var(--warning-color-text); } -dl.warning dt, dl.attention dt { +dl.warning dt, dl.attention dt, dl.important dt { color: var(--warning-color-hl); } @@ -1739,7 +1762,9 @@ dl.deprecated dt a { color: var(--deprecated-color-hl) !important; } -dl.section dd, dl.bug dd, dl.deprecated dd, dl.todo dd, dl.test dd { +dl.note dd, dl.warning dd, dl.pre dd, dl.post dd, +dl.remark dd, dl.attention dd, dl.important dd, dl.invariant dd, +dl.bug dd, dl.deprecated dd, dl.todo dd, dl.test dd { margin-inline-start: 0px; } @@ -1891,20 +1916,17 @@ div.toc ul { padding: 0px; } -div.toc li.level1 { - margin-left: 0px; -} - -div.toc li.level2 { +div.toc li[class^='level'] { margin-left: 15px; } -div.toc li.level3 { - margin-left: 15px; +div.toc li.level1 { + margin-left: 0px; } -div.toc li.level4 { - margin-left: 15px; +div.toc li.empty { + background-image: none; + margin-top: 0px; } span.emoji { diff --git a/doxygen_crawl.html b/doxygen_crawl.html index af9db6ad..03b11ba5 100644 --- a/doxygen_crawl.html +++ b/doxygen_crawl.html @@ -4,7 +4,7 @@ Validator / crawler helper - + @@ -31,6 +31,7 @@ + @@ -38,7 +39,9 @@ + + @@ -163,6 +166,7 @@ + @@ -170,7 +174,9 @@ + + @@ -387,9 +393,20 @@ + + + + + + + + + + + @@ -414,17 +431,33 @@ + + + + + + + + + + + + + + + + @@ -457,6 +490,8 @@ + + @@ -684,6 +719,8 @@ + + @@ -798,5 +835,2960 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/dynsections.js b/dynsections.js index 24dfe9c8..8985f424 100644 --- a/dynsections.js +++ b/dynsections.js @@ -23,6 +23,10 @@ @licend The above is the entire license notice for the JavaScript code in this file */ +function toggleVisibility(linkObj) { + return dynsection.toggleVisibility(linkObj); +} + let dynsection = { // helper function diff --git a/files.html b/files.html index 77c0733d..c482814c 100644 --- a/files.html +++ b/files.html @@ -3,13 +3,15 @@ - + systemc-clang: File List + + @@ -32,24 +34,35 @@ - + + + diff --git a/function__info__pass_8py.html b/function__info__pass_8py.html index 007a10f6..a726eaad 100644 --- a/function__info__pass_8py.html +++ b/function__info__pass_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/function_info_pass.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -94,17 +107,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.function_info_pass
namespace  parselib.transforms.function_info_pass
 
+
diff --git a/function__info__pass_8py_source.html b/function__info__pass_8py_source.html index 780fbee7..ac1ae473 100644 --- a/function__info__pass_8py_source.html +++ b/function__info__pass_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/function_info_pass.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
function_info_pass.py
@@ -107,94 +115,94 @@
19 super().__init__()
20 self.__current_module = None
21 self.__local_output_ids = set()
-
22 self.__in_function = False
+
22 self.__in_function = False
23 self.function_nodes = dict() # record the function nodes per modules
- - -
26 self.__current_thread = None
+ + +
27
28 @property
32 @current_module_function_nodes.setter
- +
34 self.function_nodes[self.__current_module] = val
35
36 @property
-
38 return self.__current_process.function_invocations
+
38 return self.__current_process__current_process.function_invocations
39
40 @current_process_function_invocations.setter
-
42 self.__current_process.function_invocations = val
+
42 self.__current_process__current_process.function_invocations = val
43
44 @property
- -
46 return self.__current_function.assignments
+ +
46 return self.__current_function__current_function.assignments
47
48 @property
-
50 return self.__current_function.function_invocations
+
50 return self.__current_function__current_function.function_invocations
51
52 @property
-
54 if self.__current_function:
-
55 return self.__current_function.function_invocations
-
56 elif self.__current_process:
-
57 return self.__current_process.function_invocations
-
58 elif self.__current_thread:
-
59 return self.__current_thread.function_invocations
+ +
55 return self.__current_function__current_function.function_invocations
+ +
57 return self.__current_process__current_process.function_invocations
+ +
59 return self.__current_thread__current_thread.function_invocations
60 else:
61 raise ValueError('Current scope is not set')
62
63 @current_function_assignments.setter
- -
65 self.__current_function.assignments = val
+ +
65 self.__current_function__current_function.assignments = val
66
67 def hprocess(self, tree):
-
68 self.__current_process = tree
+
69 tree.function_invocations = []
71 self.__push_up(tree)
-
72 self.__current_process = None
+
73 return tree
74
75 def hthreadswitch(self, tree):
76 # NOTE: this is only used for generated functions
-
77 self.__current_thread = tree
+
78 tree.function_invocations = []
79 self.__push_up(tree)
-
80 self.__current_process = None
+
81 return tree
82
83 def hmodule(self, tree):
84 self.__current_module = tree.children[0]
- +
86 self.__push_up(tree)
- +
88 return tree
89
90
@@ -243,7 +251,7 @@
123 def harrayref(self, tree):
124 # we only expands the first parameter
125 array_ref = tree.children[0]
-
126 if self.__in_function and hasattr(tree, 'push_down'):
+
126 if self.__in_function and hasattr(tree, 'push_down'):
127 array_ref.func_repl_id = True
128 delattr(tree, 'push_down')
129 self.__push_up(tree)
@@ -253,7 +261,7 @@
132 def hslice(self, tree):
133 array_ref = tree.children[0]
-
134 if self.__in_function:
+
134 if self.__in_function:
135 array_ref.func_repl_id = True
136 self.__push_up(tree)
137 return tree
@@ -267,24 +275,24 @@
143 blocking/non-blocking assignments
144 """
145 lhs = tree.children[0]
-
146 if lhs.data == 'hvarref' and self.__in_function:
+
146 if lhs.data == 'hvarref' and self.__in_function:
147 lhs.func_repl_id = True
-
148 elif lhs.data == 'harrayref' and self.__in_function:
+
148 elif lhs.data == 'harrayref' and self.__in_function:
149 lhs.push_down = True
150 self.__push_up(tree)
-
151 if self.__in_function:
- +
151 if self.__in_function:
+
153 return tree
154
155 def hfunction(self, tree):
-
156 self.__in_function = True
-
157 self.__current_function = tree
+
156 self.__in_function = True
+
158 tree.function_invocations = []
- +
160 self.__push_up(tree)
-
161 self.__in_function = False
+
161 self.__in_function = False
162 func_name = tree.children[0]
163 ret_type = None
164 func_params = None
@@ -299,8 +307,8 @@
173 local_vars = child
174 elif child.data == 'hfunctionbody':
175 func_body = child
- -
177 self.__current_function = None
+ +
178 return tree
179
@@ -347,17 +355,17 @@
213 @property
- +
215 return self.__current_module.function_nodes
216
217 def __search_current_function(self, func_name):
-
218 for f in self.current_function_nodes:
+
219 if f.children[0] == func_name:
220 return f
221 # try fuzzy search
-
222 for f in self.current_function_nodes:
+
223 # dprint(f.children[0][:-1] == func_name[:-1])
224 if f.children[0][:-1] == func_name[:-1]:
225 return f
@@ -457,72 +465,71 @@
293 def __extract_name_from_method_args(self, arg_node, arg_tpe):
-
294 dprint(arg_node, arg_tpe)
-
295 if is_tree_type(arg_node, 'hvarref'):
-
296 return arg_node.children[0]
-
297 elif is_tree_types(arg_node, ['hliteral', 'hbinop', 'hmethodcall', 'syscread', 'hunop', 'hslice']):
-
298 warn('Complex expression or constant used for in/out argument, '
-
299 'this will cause phantom argument to be created and the output result will be discarded. '
-
300 'Consider using non-reference or const-reference instead')
-
301 phantom_var = self.new_phantom_var_name(arg_tpe)
-
302 arg_node.phantom_var = phantom_var
-
303 return phantom_var
-
304 elif isinstance(arg_node, str): # strings can be treated as an ID
-
305 return arg_node
-
306 raise ValueError('Unsupported form of function argument')
-
307
-
-
-
308 def augment_name_stub(self, tree):
-
309 tree.name_stub = dict()
-
310 tree.phantom_vars = dict()
-
311 names_to_stub = set()
-
312 for invoc in tree.function_invocations:
-
313 invoc_params = invoc.children[1:]
-
314 f_name = invoc.children[0]
-
315 func_node = self.__search_current_function(f_name)
-
316 func_name, ret_type, func_params, local_vars, func_body = self.__extract_func_def(func_node)
-
317 if func_params is not None:
-
318 for idx, param in func_params.io_params:
-
319 arg_node = invoc_params[idx]
-
320 tpe = func_params.children[idx].children[1]
-
321 name = self.__extract_name_from_method_args(arg_node, tpe)
-
322 if hasattr(arg_node, 'phantom_var'):
-
323 tree.phantom_vars[name] = tpe
-
324 names_to_stub.add(name)
-
325 # dprint(tree.phantom_vars)
-
326 # actually, we can finalized the name of the stub here
-
327 for nm in names_to_stub:
-
328 stub = ProcessVarNameStub(nm, self.search_id_def(nm))
-
329 stub.insert_name(lambda x: self.search_id_def(x, True))
-
330 tree.name_stub[nm] = stub
-
331
-
-
-
332 def hprocess(self, tree):
-
333 # dprint(tree.children[0], tree.pretty())
-
334 self.__current_process = tree
-
335 self.push_scope()
-
336 self.__push_up(tree)
-
337 self.augment_name_stub(tree)
-
338 self.pop_scope()
-
339 self.__current_process = None
-
340 return tree
-
341
-
-
-
342 def hfunction(self, tree):
-
343 self.__current_function = tree
-
344 self.push_scope()
-
345 self.__push_up(tree)
-
346
-
347 # func_name, ret_type, func_params, local_vars, func_body = self.__extract_func_def(tree)
-
348 # dprint(func_params.pretty())
-
349
-
350 self.augment_name_stub(tree)
-
351 self.pop_scope()
-
352 self.__current_function = None
-
353 return tree
+
294 if is_tree_type(arg_node, 'hvarref'):
+
295 return arg_node.children[0]
+
296 elif is_tree_types(arg_node, ['hliteral', 'hbinop', 'hmethodcall', 'syscread', 'hunop', 'hslice']):
+
297 warn('Complex expression or constant used for in/out argument, '
+
298 'this will cause phantom argument to be created and the output result will be discarded. '
+
299 'Consider using non-reference or const-reference instead')
+
300 phantom_var = self.new_phantom_var_name(arg_tpe)
+
301 arg_node.phantom_var = phantom_var
+
302 return phantom_var
+
303 elif isinstance(arg_node, str): # strings can be treated as an ID
+
304 return arg_node
+
305 raise ValueError('Unsupported form of function argument')
+
306
+
+
+
307 def augment_name_stub(self, tree):
+
308 tree.name_stub = dict()
+
309 tree.phantom_vars = dict()
+
310 names_to_stub = set()
+
311 for invoc in tree.function_invocations:
+
312 invoc_params = invoc.children[1:]
+
313 f_name = invoc.children[0]
+
314 func_node = self.__search_current_function(f_name)
+
315 func_name, ret_type, func_params, local_vars, func_body = self.__extract_func_def(func_node)
+
316 if func_params is not None:
+
317 for idx, param in func_params.io_params:
+
318 arg_node = invoc_params[idx]
+
319 tpe = func_params.children[idx].children[1]
+
320 name = self.__extract_name_from_method_args(arg_node, tpe)
+
321 if hasattr(arg_node, 'phantom_var'):
+
322 tree.phantom_vars[name] = tpe
+
323 names_to_stub.add(name)
+
324 # dprint(tree.phantom_vars)
+
325 # actually, we can finalized the name of the stub here
+
326 for nm in names_to_stub:
+
327 stub = ProcessVarNameStub(nm, self.search_id_def(nm))
+
328 stub.insert_name(lambda x: self.search_id_def(x, True))
+
329 tree.name_stub[nm] = stub
+
330
+
+
+
331 def hprocess(self, tree):
+
332 # dprint(tree.children[0], tree.pretty())
+
333 self.__current_process = tree
+
334 self.push_scope()
+
335 self.__push_up(tree)
+
336 self.augment_name_stub(tree)
+
337 self.pop_scope()
+
338 self.__current_process = None
+
339 return tree
+
340
+
+
+
341 def hfunction(self, tree):
+
342 self.__current_function = tree
+
343 self.push_scope()
+
344 self.__push_up(tree)
+
345
+
346 # func_name, ret_type, func_params, local_vars, func_body = self.__extract_func_def(tree)
+
347 # dprint(func_params.pretty())
+
348
+
349 self.augment_name_stub(tree)
+
350 self.pop_scope()
+
351 self.__current_function = None
+
352 return tree
@@ -534,24 +541,25 @@ + - + - - + + - + - + @@ -564,27 +572,30 @@ - + - + - + + + +
__push_up(self, current_node)
Definition top_down.py:29
@@ -592,7 +603,8 @@ + diff --git a/function__param__marker_8py.html b/function__param__marker_8py.html index 7edf543f..5954e5a6 100644 --- a/function__param__marker_8py.html +++ b/function__param__marker_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/function_param_marker.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.function_param_marker
namespace  parselib.transforms.function_param_marker
 
+
diff --git a/function__param__marker_8py_source.html b/function__param__marker_8py_source.html index a716cea0..e265efc2 100644 --- a/function__param__marker_8py_source.html +++ b/function__param__marker_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/function_param_marker.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
function_param_marker.py
@@ -101,7 +109,7 @@
13 """
14 super().__init__()
15 self.expanded = list()
-
16 self.__is_in_function = False
+
17 self.__driven_signals = set()
18
@@ -131,7 +139,7 @@
36 def hbinop(self, tree):
37 """binary op"""
-
38 if self.__is_in_function:
+
39 if tree.children[0] == '=':
40 sig = self.__get_driven_sig_name(tree)
@@ -141,7 +149,7 @@
44 def blkassign(self, tree):
45 """block assignment"""
-
46 if self.__is_in_function:
+
47 sig = self.__get_driven_sig_name(tree)
49 return tree
@@ -149,10 +157,10 @@
51 def hfunction(self, tree):
-
52 self.__is_in_function = True
+
54 self.__push_up(tree)
-
55 self.__is_in_function = False
+
56
57 # mark the input/output direction of the function parameters
58 funct_param = tree.children[2]
@@ -178,10 +186,11 @@ - + + @@ -190,7 +199,8 @@
+ diff --git a/function__transformation__pass_8py.html b/function__transformation__pass_8py.html index 55e78605..80beee1c 100644 --- a/function__transformation__pass_8py.html +++ b/function__transformation__pass_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/function_transformation_pass.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.function_transformation_pass
namespace  parselib.transforms.function_transformation_pass
 
+
diff --git a/function__transformation__pass_8py_source.html b/function__transformation__pass_8py_source.html index 7bce5986..4bdbd585 100644 --- a/function__transformation__pass_8py_source.html +++ b/function__transformation__pass_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/function_transformation_pass.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
function_transformation_pass.py
@@ -103,10 +111,10 @@
16 def __init__(self):
17 super().__init__()
- + - -
21 self.__current_thread = None
+ +
23 self.__in_module_scope = False
@@ -133,27 +141,27 @@
40 @property
-
42 return self.__current_functions
+
42 return self.__current_functions
43
44 @current_module_function_nodes.setter
-
46 self.__current_functions = val
+
46 self.__current_functions = val
47
-
49 self.__current_process.func_name_stubs.append(FuncNameStub(func))
+
49 self.__current_process__current_process.func_name_stubs.append(FuncNameStub(func))
50
51 def __search_current_function(self, func_name):
- +
53 if f.children[0] == func_name:
54 return f
55 # try fuzzy search
- +
57 # dprint(f.children[0][:-1] == func_name[:-1])
58 if f.children[0][:-1] == func_name[:-1]:
59 return f
@@ -162,9 +170,9 @@
-
63 if self.__current_process:
-
64 if id in self.__current_process.name_stub:
-
65 return self.__current_process.name_stub[id]
+ +
64 if id in self.__current_process__current_process.name_stub:
+
65 return self.__current_process__current_process.name_stub[id]
66 else:
67 return None
68
@@ -223,19 +231,19 @@
110 def hthreadswitch(self, tree):
111 # Note for generated thread function, we will not need a stub
-
112 self.__current_thread = tree
+
113 tree.func_name_stubs = []
114 self.__push_up(tree)
-
115 self.__current_process = None
+
116 return tree
117
118 def hprocess(self, tree):
-
119 self.__current_process = tree # note down the process so that we can add temporary variables later
+
119 self.__current_process__current_process = tree # note down the process so that we can add temporary variables later
120 tree.func_name_stubs = []
121 self.__push_up(tree)
-
122 self.__current_process = None
+
123
124 # generate prolog
125 body = None
@@ -294,12 +302,12 @@
173 def prevardecl(self, tree):
174 stub_decl = []
-
175 for name, stub in self.__current_process.name_stub.items():
+
175 for name, stub in self.__current_process__current_process.name_stub.items():
176 stub_decl.append(
177 Tree('vardecl', children=[
178 Tree('vardeclinit', children=[ stub.children[0], stub.children[1] ])
179 ]))
-
180 for name, tpe in self.__current_process.phantom_vars.items():
+
180 for name, tpe in self.__current_process__current_process.phantom_vars.items():
181 t = self.strip_io_or_default(tpe)
182 stub_decl.append(
183 Tree('vardecl', children=[
@@ -344,12 +352,12 @@
217 @property
-
219 if self.__current_process:
-
220 return self.__current_process
+ +
221 elif self.__current_function:
222 return self.__current_function
-
223 elif self.__current_thread:
-
224 return self.__current_thread
+ +
225 else:
226 return None
227
@@ -363,8 +371,8 @@
231 def check_blocking(self, var_name):
232 in_global_scope = var_name in self.__current_module_scope_vars
233 # A method might be called in another function
-
234 if self.__current_process is not None:
-
235 proc_name = self.__current_process.children[0]
+
234 if self.__current_process__current_process is not None:
+
235 proc_name = self.__current_process__current_process.children[0]
236 in_sens_list = var_name in self.__current_module_sense_list[proc_name]
237 else:
238 in_sens_list = False
@@ -498,11 +506,10 @@ - + - @@ -516,7 +523,7 @@ - + @@ -526,9 +533,12 @@ + + + @@ -546,7 +556,8 @@
+
diff --git a/functions.html b/functions.html index 73889860..9156743a 100644 --- a/functions.html +++ b/functions.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members + + @@ -32,24 +34,35 @@
- + +
+
+
- _ -

    + diff --git a/functions_f.html b/functions_f.html index cd37072c..da45c07b 100644 --- a/functions_f.html +++ b/functions_f.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members + + @@ -32,24 +34,35 @@ - + + +
    +
    - f -

  • add_func_name_stub_to_current_scope() : parselib.transforms.function_transformation_pass.FunctionTransformationPass
  • add_id_type() : parselib.transforms.function_info_pass.FunctionInfoPass2
  • add_instance_name() : sc_ast_matchers::ModuleInstanceType
  • +
  • add_values() : parselib.utils.ContextManager
  • addArraySize() : systemc_clang::PortDecl
  • addArraySizes() : sc_ast_matchers::ModuleInstanceType
  • addBaseInstance() : systemc_clang::ModuleInstance
  • @@ -146,7 +160,8 @@

    - a -

      + diff --git a/functions_func_b.html b/functions_func_b.html index aa896594..9724c976 100644 --- a/functions_func_b.html +++ b/functions_func_b.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
      +
      - b -

    + diff --git a/functions_func_c.html b/functions_func_c.html index c3764980..3c4a1499 100644 --- a/functions_func_c.html +++ b/functions_func_c.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - c -

    + diff --git a/functions_func_d.html b/functions_func_d.html index c7ee220b..e9de497f 100644 --- a/functions_func_d.html +++ b/functions_func_d.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - d -

    + diff --git a/functions_func_e.html b/functions_func_e.html index 3b9376b1..3f43d0aa 100644 --- a/functions_func_e.html +++ b/functions_func_e.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    Here is a list of all functions with links to the classes they belong to:

    - e -

    + diff --git a/functions_func_f.html b/functions_func_f.html index 3bae089b..82ccfd92 100644 --- a/functions_func_f.html +++ b/functions_func_f.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - f -

    + diff --git a/functions_func_m.html b/functions_func_m.html index 366bdd5e..17349b00 100644 --- a/functions_func_m.html +++ b/functions_func_m.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - m -

+ diff --git a/functions_func_n.html b/functions_func_n.html index eb94886e..b88d8de3 100644 --- a/functions_func_n.html +++ b/functions_func_n.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
+
- n -

+ diff --git a/functions_func_o.html b/functions_func_o.html index c4a7036c..be59b120 100644 --- a/functions_func_o.html +++ b/functions_func_o.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
+
- o -

+ diff --git a/functions_func_p.html b/functions_func_p.html index a9b04813..eafc281f 100644 --- a/functions_func_p.html +++ b/functions_func_p.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
+
- p -

+ diff --git a/functions_func_s.html b/functions_func_s.html index 1c76f7fa..e1d4986d 100644 --- a/functions_func_s.html +++ b/functions_func_s.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
+
- s -

    + diff --git a/functions_func_u.html b/functions_func_u.html index 70668611..e6e26e1e 100644 --- a/functions_func_u.html +++ b/functions_func_u.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - u -

    + diff --git a/functions_func_v.html b/functions_func_v.html index f2821e8a..456dbe8a 100644 --- a/functions_func_v.html +++ b/functions_func_v.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    Here is a list of all functions with links to the classes they belong to:

    - v -

    + diff --git a/functions_func_w.html b/functions_func_w.html index 649b16a9..3b77e8b6 100644 --- a/functions_func_w.html +++ b/functions_func_w.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - w -

    + diff --git a/functions_func_~.html b/functions_func_~.html index f887ea10..a9b278ab 100644 --- a/functions_func_~.html +++ b/functions_func_~.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Functions + + @@ -32,24 +34,35 @@ - + + +
    +
    - ~ -

    + diff --git a/functions_m.html b/functions_m.html index 8f34f7fb..dcc503d1 100644 --- a/functions_m.html +++ b/functions_m.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members + + @@ -32,24 +34,35 @@ - + + +
    +
    - m -

  • updateSuspensionTime() : systemc_clang::Edge
  • updateTransitionTime() : systemc_clang::GlobalSuspensionAutomata
  • updateVisitedBlocks() : systemc_clang::SplitCFG
  • -
  • userrectype_map_t : HDLType
  • +
  • userrectype_map_t : HDLType
  • userrectypes : HDLType::usertype_info_t
  • usertype_info : HDLType
  • -
  • usertype_map_t : HDLType
  • +
  • usertype_map_t : HDLType
  • usertypes : HDLType::usertype_info_t
  • util() : hnode::util
  • Utility() : systemc_clang::Utility
  • @@ -96,7 +109,8 @@

    - u -

      + diff --git a/functions_v.html b/functions_v.html index 1aea3da8..6068992a 100644 --- a/functions_v.html +++ b/functions_v.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members + + @@ -32,24 +34,35 @@ - + + +
      +
      - v -

    + diff --git a/functions_vars_b.html b/functions_vars_b.html index bad9df45..8c8ca5da 100644 --- a/functions_vars_b.html +++ b/functions_vars_b.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - b -

  • base_instances_ : systemc_clang::ModuleInstance
  • -
  • bindings : parselib.transforms.verilog_tranlation.VerilogTranslationPass
  • +
  • bindings : parselib.transforms.verilog_tranlation.VerilogTranslationPass
  • block_ : systemc_clang::SplitCFGBlock
  • break_found_ : systemc_clang::BreakMatcher
  • broken_down_ops : parselib.transforms.comma_transformation.CommaTransformation
  • @@ -84,7 +97,8 @@

    - b -

      + diff --git a/functions_vars_c.html b/functions_vars_c.html index e9fffac6..4c886383 100644 --- a/functions_vars_c.html +++ b/functions_vars_c.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
      +
      - c -

    + diff --git a/functions_vars_e.html b/functions_vars_e.html index 210649e0..51f58b87 100644 --- a/functions_vars_e.html +++ b/functions_vars_e.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - e -

    + diff --git a/functions_vars_f.html b/functions_vars_f.html index 975e13f7..83b6995f 100644 --- a/functions_vars_f.html +++ b/functions_vars_f.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - f -

    + diff --git a/functions_vars_m.html b/functions_vars_m.html index f86d1389..ef562b17 100644 --- a/functions_vars_m.html +++ b/functions_vars_m.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - m -

+ diff --git a/functions_vars_p.html b/functions_vars_p.html index 22b51444..f0449b0f 100644 --- a/functions_vars_p.html +++ b/functions_vars_p.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
+
- p -

    + diff --git a/functions_vars_s.html b/functions_vars_s.html index 8218491e..c9bce8a6 100644 --- a/functions_vars_s.html +++ b/functions_vars_s.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - s -

    + diff --git a/functions_vars_v.html b/functions_vars_v.html index bfef88cf..5912911d 100644 --- a/functions_vars_v.html +++ b/functions_vars_v.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - v -

    + diff --git a/functions_vars_w.html b/functions_vars_w.html index 80e83f65..75f665ea 100644 --- a/functions_vars_w.html +++ b/functions_vars_w.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - w -

    + diff --git a/functions_vars_x.html b/functions_vars_x.html index 53c66f28..738e582d 100644 --- a/functions_vars_x.html +++ b/functions_vars_x.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members - Variables + + @@ -32,24 +34,35 @@ - + + +
    +
    - x -

    + diff --git a/functions_w.html b/functions_w.html index fd7f4a9a..a9c0ddb1 100644 --- a/functions_w.html +++ b/functions_w.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members + + @@ -32,24 +34,35 @@ - + + +
    +
    - w -

    + diff --git a/functions_~.html b/functions_~.html index f4035722..7817f53a 100644 --- a/functions_~.html +++ b/functions_~.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Members + + @@ -32,24 +34,35 @@ - + + +
    +
    - ~ -

+
Classes | @@ -93,9 +106,9 @@ - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.grammar
namespace  parselib.grammar
 

@@ -106,7 +119,8 @@ + diff --git a/grammar_8py_source.html b/grammar_8py_source.html index dad9b45c..a328183a 100644 --- a/grammar_8py_source.html +++ b/grammar_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/grammar.py Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
grammar.py
@@ -149,278 +157,283 @@
63 | continuestmt
64 | hwait
65 | hslice
-
66
-
67 continuestmt: "hContinue" "NONAME" "NOLIST"
-
68 // hvarinitlist can be empty
-
69 hvarinitlist: "hVarInitList" "NONAME" "[" (hvarinitlist | expression)+ "]"
-
70 | "hVarInitList" "NONAME" "NOLIST"
-
71 // hvarinitlist: "hVarInitList" "NONAME" "NOLIST"
-
72
-
73 hwait: "hWait" "wait" "NOLIST" | "hWait" NUM ("[" hliteral "]" | "NOLIST")
-
74
-
75 breakstmt: "hBreak" "NONAME" "NOLIST"
-
76
-
77 ?htotype: htouint | htoint | htolong | htoulong | hnoop | htoi64 | htou64
-
78
-
79 ?htobool: ("hBuiltinFunction" "to_bool" | "hNoop" "to_bool") "[" harrayref "]"
-
80 htouint: "hBuiltinFunction" "to_uint" "[" (syscread|hvarref|hslice) "]"
-
81 htoint: "hBuiltinFunction" "to_int" "[" (syscread|hvarref|hslice) "]"
-
82 htolong: "hBuiltinFunction" "to_long" "[" (syscread|hvarref|hslice) "]"
-
83 htoulong: "hBuiltinFunction" "to_ulong" "[" (syscread|hvarref|hslice) "]"
-
84 hnoop: "hNoop" "NONAME" "NOLIST"
-
85 htoi64: "hBuiltinFunction" "to_int64" "[" (syscread|hvarref|hslice) "]"
-
86 htou64: "hBuiltinFunction" "to_uint64" "[" (syscread|hvarref|hslice) "]"
-
87 hscmin: "hBuiltinFunction" "sc_min" "[" expression expression "]"
-
88 hscmax: "hBuiltinFunction" "sc_max" "[" expression expression "]"
-
89 hlength: "hBuiltinFunction" "length" "[" (syscread|hvarref) "]"
-
90
-
91 hbuiltin: hscmin | hscmax | hreduceop | hlength
+
66 | portbinding
+
67 | hnamedsensvar
+
68
+
69 continuestmt: "hContinue" "NONAME" "NOLIST"
+
70 // hvarinitlist can be empty
+
71 hvarinitlist: "hVarInitList" "NONAME" "[" (hvarinitlist | expression)+ "]"
+
72 | "hVarInitList" "NONAME" "NOLIST"
+
73 // hvarinitlist: "hVarInitList" "NONAME" "NOLIST"
+
74
+
75 hwait: "hWait" "wait" "NOLIST" | "hWait" NUM ("[" hliteral "]" | "NOLIST")
+
76
+
77 breakstmt: "hBreak" "NONAME" "NOLIST"
+
78
+
79 ?htotype: htouint | htoint | htolong | htoulong | hnoop | htoi64 | htou64
+
80
+
81 ?htobool: ("hBuiltinFunction" "to_bool" | "hNoop" "to_bool") "[" harrayref "]"
+
82 htouint: "hBuiltinFunction" "to_uint" "[" (syscread|hvarref|hslice) "]"
+
83 htoint: "hBuiltinFunction" "to_int" "[" (syscread|hvarref|hslice|harrayref) "]"
+
84 htolong: "hBuiltinFunction" "to_long" "[" (syscread|hvarref|hslice) "]"
+
85 htoulong: "hBuiltinFunction" "to_ulong" "[" (syscread|hvarref|hslice) "]"
+
86 hnoop: "hNoop" "NONAME" "NOLIST"
+
87 htoi64: "hBuiltinFunction" "to_int64" "[" (syscread|hvarref|hslice) "]"
+
88 htou64: "hBuiltinFunction" "to_uint64" "[" (syscread|hvarref|hslice) "]"
+
89 hscmin: "hBuiltinFunction" "sc_min" "[" expression expression "]"
+
90 hscmax: "hBuiltinFunction" "sc_max" "[" expression expression "]"
+
91 hlength: "hBuiltinFunction" "length" "[" (syscread|hvarref) "]"
92
-
93 // hmodinitblock:
-
94 // first component is the id of the module (in parent?)
-
95 // second component is initialization list
-
96 // third component is port binding list
-
97 hmodinitblock: "hModinitblock" ID "[" hcstmt* portbindinglist* hsenslist*"]"
-
98 | "hModinitblock" ID "NOLIST"
-
99
-
100 // Port Bindings
-
101 portbindinglist: "hPortbindings" ID "[" portbinding* "]"
-
102 // hPortbinding u_dut [
-
103 // hVarref avg_out NOLIST
-
104 // hVarref dut_avg NOLIST
-
105 // ]
-
106 portbinding: "hPortbinding" ID "[" hvarref hvarref "]"
-
107 | "hPortbinding" ID "[" hbindingref hbindingref "]"
-
108 | "hPortbinding" ID "[" hvarref hbindingref "]"
-
109 | "hPortbinding" ID "[" hbindingarrayref hbindingarrayref "]"
-
110 | "hPortbinding" ID "[" hvarref hbindingarrayref "]"
-
111 // TODO: replace portbinding with succinct syntax
-
112 hbindingref: "hVarref" ID "[" hliteral "]"
-
113 // compared array ref in normal expressions
-
114 // we use a more restrictive form here
-
115 hbindingarrayref: "hBinop" "ARRAYSUBSCRIPT" "[" (hvarref|hbindingarrayref) (hliteral|hbinop) "]"
-
116
-
117
-
118 // This is solely for maintaining the semicolon
-
119 expression_in_stmt: expression
-
120
-
121 // while(condition) stmts
-
122 whilestmt: "hWhileStmt" "NONAME" "[" expression stmt "]"
+
93 hbuiltin: hscmin | hscmax | hreduceop | hlength
+
94
+
95 // hmodinitblock:
+
96 // first component is the id of the module (in parent?)
+
97 // second component is initialization list
+
98 // third component is port binding list
+
99 hmodinitblock: "hModinitblock" ID "[" vardecl* hcstmt* hsenslist*"]"
+
100 | "hModinitblock" ID "NOLIST"
+
101 // Port Bindings
+
102 portbindinglist: "hCStmt" "NONAME" "[" portbinding* "]"
+
103 // hPortbinding u_dut [
+
104 // hVarref avg_out NOLIST
+
105 // hVarref dut_avg NOLIST
+
106 // ]
+
107 portbinding: "hPortbinding" ID "[" hvarref hvarref "]"
+
108 | "hPortbinding" ID "[" hbindingref hbindingref "]"
+
109 | "hPortbinding" ID "[" hvarref hbindingref "]"
+
110 | "hPortbinding" ID "[" hbindingarrayref hbindingarrayref "]"
+
111 | "hPortbinding" ID "[" hvarref hbindingarrayref "]"
+
112 | "hPortbinding" ID "[" hfieldaccess (hvarref|hbinop) "]"
+
113 | "hPortbinding" ID "[" hbinop (hvarref|hbinop) "]"
+
114 // TODO: replace portbinding with succinct syntax
+
115 hbindingref: "hVarref" ID "[" hliteral "]"
+
116 // compared array ref in normal expressions
+
117 // we use a more restrictive form here
+
118 hbindingarrayref: "hBinop" "ARRAYSUBSCRIPT" "[" (hfieldaccess | hvarref|hbindingarrayref) (hvarref|hliteral|hbinop) "]"
+
119
+
120
+
121 // This is solely for maintaining the semicolon
+
122 expression_in_stmt: expression
123
-
124 // do stmts while(condition)
-
125 dostmt: "hDoStmt" "NONAME" "[" expression stmt "]"
-
126
-
127 // for(forinit; forcond; forpostcond) stmts
-
128 forstmt: "hForStmt" "NONAME" "[" forinit forcond forpostcond forbody "]"
-
129 forinit: "hPortsigvarlist" "NONAME" "[" vardeclinit "]"
-
130 | vardeclinit
-
131 | hnoop
-
132 | blkassign
-
133 forcond: expression
-
134 | hnoop
-
135 forpostcond: expression
-
136 | hnoop
-
137 forbody: stmt
-
138
-
139 switchstmt: "hSwitchStmt" "NONAME" "[" switchcond switchbody "]"
-
140 switchcond: expression
-
141 // Note: we don't make this a noraml statement as in the context of switch,
-
142 // we don't use general statements
-
143 switchbody: "hCStmt" "NONAME" "[" ((casestmt* breakstmt?)+) "]"
-
144 casestmt: "hSwitchCase" "NONAME" "[" casevalue (casestmt | (stmt+)) breakstmt? "]" hnoop
-
145 | "hSwitchCase" "NONAME" "[" casevalue hnoop "]"
-
146 | "hSwitchCase" "NONAME" "[" casevalue (casestmt | (stmt+)) breakstmt? "]"
-
147 | "hSwitchDefault" "NONAME" "[" stmt+ "]"
-
148 casevalue: expression
-
149
-
150 // Function
-
151 hfunction : "hFunction" ID "[" hfunctionrettype hfunctionparams? hfunctionlocalvars hfunctionbody "]"
-
152 hfunctionlocalvars: vardeclinit*
-
153 hfunctionbody: hcstmt
-
154 hfunctionrettype: "hFunctionRetType" "NONAME" "[" htypeinfo "]"
-
155 | "hFunctionRetType" "NONAME" "NOLIST" // only appears in generated statements
-
156 hfunctionparams : "hFunctionParams" "NONAME" "[" (funcparami|funcparamio)* "]"
-
157 | "hFunctionParams" "NONAME" "NOLIST"
-
158 hreturnstmt: "hReturnStmt" "NONAME" "[" (expression|hslice) "]"
-
159 | "hReturnStmt" "NONAME" "NOLIST" // return;
-
160
-
161 hsenslist : "hSenslist" ID "[" hsensvar* "]"
-
162 | "hSenslist" ID "NOLIST"
-
163 hsensvar : "hSensvar" "NONAME" "[" (hsensedge|expression|hvalchange) ("hNoop" | "hBuiltinFunction") npa "NOLIST" ("hNoop" npa "NOLIST")* "]"
-
164 | hasync
-
165 hasync : "hSensvar" "ASYNC" "[" expression hliteral "]"
-
166
-
167 hvalchange: "hNoop" "value_changed_event" "[" expression "]"
-
168 hsensedge : "hNoop" npa "NOLIST"
-
169 | "hBuiltinFunction" npa "NOLIST"
-
170 | "hBuiltinFunction" npa "[" expression "]"
-
171 !npa : "neg" | "pos" | "always" | "posedge_event" | "negedge_event"
-
172
-
173 // if and if-else, not handling if-elseif case
-
174 ifstmt: "hIfStmt" "NONAME" "[" (expression|harrayref) stmt? stmt?"]"
-
175
-
176
-
177 ?expression: hbinop
-
178 | hunop
-
179 | hliteral
-
180 | hvarref
-
181 | hunimp
-
182 | syscread
-
183 | hmethodcall
-
184 | "[" expression "]"
-
185 | htobool
-
186 | htouint
-
187 | htoi64
-
188 | htou64
-
189 | htoint
-
190 | htolong
-
191 | htoulong
-
192 | hcondop
-
193 | hlrotate
-
194 | horreduce
-
195 | hfieldaccess
-
196 | hbuiltin
-
197
-
198 hfieldaccess: "hFieldaccess" "NONAME" "[" (harrayref|syscread) hfieldname "]"
-
199 hfieldname: "hField" ID "NOLIST"
-
200
-
201 hlrotate : "hBuiltinFunction" "lrotate" "[" expression expression "]"
-
202 horreduce: "hBuiltinFunction" "or_reduce" "[" expression "]"
-
203 hcondop : "hCondop" "NONAME" "[" (hcondop | hslice | hliteral | hbinop | hunop | syscread | hvarref | hmethodcall) (hslice | expression | hprefix) (hslice | expression | hpostfix) "]"
-
204
-
205 syscread : hsigassignr "[" (expression | harrayref) "]"
-
206 syscwrite : hsigassignl "[" expression (expression | hfieldaccess) "]"
-
207 ?hsigassignr : "hSigAssignR" "read"
-
208 ?hsigassignl : "hSigAssignL" "write"
-
209 // function call
-
210 hvarref : "hVarref" ID "NOLIST"
-
211 hunimp: "hUnimpl" ID "NOLIST"
-
212 hbinop: "hBinop" BINOP "[" (expression|hslice|harrayref) (expression|hslice|blkassign) "]"
-
213
-
214 // A temporary hack to handle --
-
215 hunop: "hUnop" UNOP_NON_SUB "[" (expression|hslice) "]"
-
216 | "hUnop" UNOP_SUB "[" (expression|hslice) "]"
-
217 | "hUnop" UNOP_BNOT "[" (expression|hslice) "]"
-
218 | "hBinop" UNOP_NOT "[" (expression|hslice) "]"
-
219 | "hBinop" UNOP_BNOT "[" (expression|hslice) "]"
-
220 | hpostfix
-
221 | hprefix
-
222 | hunopdec
-
223 // | hreduceop
-
224 hpostfix: "hPostfix" (UNOP_INC | UNOP_DEC) "[" expression "]"
-
225 hprefix: "hPrefix" (UNOP_INC | UNOP_DEC) "[" expression "]"
-
226 hunopdec: "hUnop" "-" "-" "[" expression "]" // hack to work with --
-
227
-
228 hreduceop: "hBuiltinFunction" REDUCE_OP "[" expression "]"
-
229 REDUCE_OP: "and_reduce" | "or_reduce" | "xor_reduce" | "nand_reduce" | "nor_reduce" | "xnor_reduce"
-
230
-
231 // Separate '=' out from so that it is not an expression but a standalone statement
-
232 blkassign: "hBinop" "=" "[" (hconcat | hvarref | hliteral | hfieldaccess) (hbuiltin | htotype | hfieldaccess | hcomma | htobool | hunop | hvarref | hliteral | harrayref | hnsbinop | hunimp | syscread | hmethodcall | hcondop | hconcat) "]"
-
233 | "hBinop" "=" "[" harrayref arrayrhs "]"
-
234 | nblkassign
-
235 | vassign
-
236 // These assignments are only intended to be used as blocking assignments
-
237 // The semantics may not be straightforward in clocked block
-
238 hcompoundassign: "hBinop" COMPOUND_ASSIGN "[" hvarref hvarref "]"
-
239 ?arrayrhs: horreduce
-
240 | htobool
-
241 | htoint
-
242 | htouint
-
243 | htolong
-
244 | htoulong
-
245 | hvarref
-
246 | hliteral
-
247 | harrayref
-
248 | hnsbinop
-
249 | hunimp
-
250 | syscread
-
251 | hmethodcall
-
252 | hunop
-
253 | hliteral
-
254 | hcondop
-
255 | htoint
-
256 | hconcat
-
257
-
258 nblkassign: "hSigAssignL" "write" "[" (hliteral | hvarref | harrayref) (syscread | hliteral | harrayref | hunop | hvarref | htobool | hmethodcall | hfieldaccess) "]"
-
259 | "hSigAssignL" "write" "[" (hliteral | hvarref | harrayref) nonrefexp "]"
-
260 hconcat: ("hBinop" "concat" "[" | "hMethodCall" "NONAME" "[" "hBinop" "concat" "NOLIST") (expression|harrayref|hconcat) (expression|harrayref|hconcat) "]"
-
261
-
262
-
263 vassign: "hVarAssign" "NONAME" "[" hvarref (hnsbinop | syscread | hliteral | hvarref | expression | harrayref | hvarinitlist)"]"
-
264 // Normal expressions that can not be expanded
-
265 nonrefexp: hbinop
-
266
-
267 harrayref: "hBinop" "ARRAYSUBSCRIPT" "[" (hliteral | hvarref | syscread | harrayref) expression "]"
-
268 | hslice
-
269 hslice: "hBinop" "SLICE" "[" hvarref expression expression "]"
-
270 | "hBuiltinFunction" "range" "[" (hvarref | harrayref | syscread | hmethodcall ) expression expression "]"
-
271 | "hBuiltinFunction" "bit" "[" (hvarref | harrayref | syscread | hmethodcall) expression "]"
-
272 hnsbinop: "hBinop" NONSUBBINOP "[" (expression|hslice) (expression|hslice) "]"
-
273
-
274 // Temporary hack to handle -= / +=
-
275 hmodassign : "hBinop" hmodassigntype "[" hvarref (hliteral|hvarref|hbinop) "]"
-
276 ?hmodassigntype : haddassign | hsubassign
-
277 haddassign : "+" "="
-
278 hsubassign : "-" "="
-
279
-
280 // Comma op is the C++ comma where the latter part of the comma expression is returned
-
281 hcomma: "hBinop" "," "[" (blkassign | hunop | hmethodcall) (hunop | expression | hmethodcall) "]"
-
282
-
283 hmethodcall: "hMethodCall" hidorstr "[" (expression|hslice) (expression|hslice)* "]"
-
284 | "hMethodCall" hidorstr "NOLIST"
-
285
-
286 ?hidorstr: ID | STRING
-
287 hliteral: idlit | numlit | numlitwidth
-
288 idlit : "hLiteral" ID "NOLIST"
-
289 numlit : "hLiteral" NUM "NOLIST"
-
290 numlitwidth : "hLiteral" NUM "[" htypeinfo "]"
-
291 htypeinfo: "hTypeinfo" "NONAME" "[" htype "]"
-
292 | "hTypeinfo" "NONAME" "NOLIST" // ?
-
293 htype: htypearray
-
294 | "hType" TYPESTR "NOLIST"
-
295 | "hType" TYPESTR "[" (htype|htypeint)+ "]" // nested types, type parameters
-
296 hdeptype: "hType" "typename" TYPESTR "NOLIST"
-
297 htypearray : "hType" "array" arraydimlength "[" (htype|htypeint)+ "]"
-
298 arraydimlength: "##" NUM
-
299 | "##" NUM arraydimlength
-
300 htypeint: "hLiteral" NUM "NOLIST" // integer type parameters
-
301 htypedef: "hTypedef" TYPESTR "[" htypefields "]"
-
302
-
303 htypefields: htypefield*
-
304 htypefield: "hTypeField" ID "[" (htype|hdeptype) "]"
-
305
-
306 ID: /[a-zA-Z_][a-zA-Z_0-9#]*/
-
307 NUM: /(\+|\-)?[0-9]+/
-
308 TYPESTR: /[a-zA-Z_]([a-zA-Z_0-9]|::)*/
-
309 BINOP: COMPOUND_ASSIGN | NONSUBBINOP | "ARRAYSUBSCRIPT" | "SLICE" | "concat"
-
310 NONSUBBINOP: "+=" | "-=" | "*=" | "/=" | "==" | "<<" | ">>" | "&&" | "||" | "|" | ">=" | ">" | ARITHOP | "<=" | "<" | "%" | "!=" | "&" | "@="
-
311 ARITHOP: "+" | "-" | "*" | "/" | "^"
-
312 UNOP_NON_SUB: "!" | "++" | "-" | "+"
-
313 UNOP_SUB: "-"
-
314 UNOP_DEC: "--"
-
315 UNOP_INC: "++"
-
316 // These are temporary nodes that should be removed when hBinop is fixed
-
317 UNOP_BOR: "|"
-
318 UNOP_NOT: "!"
-
319 UNOP_BNOT: "~"
-
320 // alias_translation.py
-
321 COMPOUND_ASSIGN: "*=" | "+=" | "-=" | "/=" | "%=" | "|=" | "&=" | "^=" | "<<=" | ">>="
-
322 %import common.WS
-
323 %ignore WS
-
324 %import common.ESCAPED_STRING -> STRING
-
325 ''', parser='lalr', debug=True, propagate_positions=True)
-
326
-
327
-
- -
329 """raised when a hcode node is not as expected"""
-
330 pass
+
124 // while(condition) stmts
+
125 whilestmt: "hWhileStmt" "NONAME" "[" expression stmt "]"
+
126
+
127 // do stmts while(condition)
+
128 dostmt: "hDoStmt" "NONAME" "[" expression stmt "]"
+
129
+
130 // for(forinit; forcond; forpostcond) stmts
+
131 forstmt: "hForStmt" "NONAME" "[" forinit forcond forpostcond forbody? "]"
+
132 forinit: "hPortsigvarlist" "NONAME" "[" vardeclinit "]"
+
133 | vardeclinit
+
134 | hnoop
+
135 | blkassign
+
136 forcond: expression
+
137 | hnoop
+
138 forpostcond: expression
+
139 | hnoop
+
140 forbody: stmt
+
141
+
142 switchstmt: "hSwitchStmt" "NONAME" "[" switchcond switchbody "]"
+
143 switchcond: expression
+
144 // Note: we don't make this a noraml statement as in the context of switch,
+
145 // we don't use general statements
+
146 switchbody: "hCStmt" "NONAME" "[" ((casestmt* breakstmt?)+) "]"
+
147 casestmt: "hSwitchCase" "NONAME" "[" casevalue (casestmt | (stmt+)) breakstmt? "]" hnoop
+
148 | "hSwitchCase" "NONAME" "[" casevalue hnoop "]"
+
149 | "hSwitchCase" "NONAME" "[" casevalue (casestmt | (stmt+)) breakstmt? "]"
+
150 | "hSwitchDefault" "NONAME" "[" stmt+ "]"
+
151 casevalue: expression
+
152
+
153 // Function
+
154 hfunction : "hFunction" ID "[" hfunctionrettype hfunctionparams? hfunctionlocalvars hfunctionbody "]"
+
155 hfunctionlocalvars: vardeclinit*
+
156 hfunctionbody: hcstmt
+
157 hfunctionrettype: "hFunctionRetType" "NONAME" "[" htypeinfo "]"
+
158 | "hFunctionRetType" "NONAME" "NOLIST" // only appears in generated statements
+
159 hfunctionparams : "hFunctionParams" "NONAME" "[" (funcparami|funcparamio)* "]"
+
160 | "hFunctionParams" "NONAME" "NOLIST"
+
161 hreturnstmt: "hReturnStmt" "NONAME" "[" (expression|hslice) "]"
+
162 | "hReturnStmt" "NONAME" "NOLIST" // return;
+
163
+
164 hsenslist : "hSenslist" ID "[" hsensvar* "]"
+
165 | "hSenslist" ID "NOLIST"
+
166 hsensvar : "hSensvar" "NONAME" "[" (hsensedge|expression|hvalchange) ("hNoop" | "hBuiltinFunction") npa "NOLIST" ("hNoop" npa "NOLIST")* "]"
+
167 | hasync
+
168 hnamedsensvar : "hSensvar" ID "[" (hsensedge|expression|hvalchange) ("hNoop" | "hBuiltinFunction") npa "NOLIST" ("hNoop" npa "NOLIST")* "]"
+
169 hasync : "hSensvar" "ASYNC" "[" expression hliteral "]"
+
170
+
171 hvalchange: "hNoop" "value_changed_event" "[" expression "]"
+
172 hsensedge : "hNoop" npa "NOLIST"
+
173 | "hBuiltinFunction" npa "NOLIST"
+
174 | "hBuiltinFunction" npa "[" expression "]"
+
175 !npa : "neg" | "pos" | "always" | "posedge_event" | "negedge_event"
+
176
+
177 // if and if-else, not handling if-elseif case
+
178 ifstmt: "hIfStmt" "NONAME" "[" (expression|harrayref) stmt? stmt?"]"
+
179
+
180
+
181 ?expression: hbinop
+
182 | hunop
+
183 | hliteral
+
184 | hvarref
+
185 | hunimp
+
186 | syscread
+
187 | hmethodcall
+
188 | "[" expression "]"
+
189 | htobool
+
190 | htouint
+
191 | htoi64
+
192 | htou64
+
193 | htoint
+
194 | htolong
+
195 | htoulong
+
196 | hcondop
+
197 | hlrotate
+
198 | horreduce
+
199 | hfieldaccess
+
200 | hbuiltin
+
201
+
202 hfieldaccess: "hFieldaccess" "NONAME" "[" (harrayref|syscread) hfieldname "]"
+
203 hfieldname: "hField" ID "NOLIST"
+
204
+
205 hlrotate : "hBuiltinFunction" "lrotate" "[" expression expression "]"
+
206 horreduce: "hBuiltinFunction" "or_reduce" "[" expression "]"
+
207 hcondop : "hCondop" "NONAME" "[" (hcondop | hslice | hliteral | hbinop | hunop | syscread | hvarref | hmethodcall) (hslice | expression | hprefix) (hslice | expression | hpostfix) "]"
+
208
+
209 syscread : hsigassignr "[" (expression | harrayref) "]"
+
210 syscwrite : hsigassignl "[" expression (expression | hfieldaccess) "]"
+
211 ?hsigassignr : "hSigAssignR" "read"
+
212 ?hsigassignl : "hSigAssignL" "write"
+
213 // function call
+
214 hvarref : "hVarref" ID "NOLIST"
+
215 hunimp: "hUnimpl" ID "NOLIST"
+
216 hbinop: "hBinop" BINOP "[" (expression|hslice|harrayref) (expression|hslice|blkassign) "]"
+
217
+
218 // A temporary hack to handle --
+
219 hunop: "hUnop" UNOP_NON_SUB "[" (expression|hslice) "]"
+
220 | "hUnop" UNOP_SUB "[" (expression|hslice) "]"
+
221 | "hUnop" UNOP_BNOT "[" (expression|hslice) "]"
+
222 | "hBinop" UNOP_NOT "[" (expression|hslice) "]"
+
223 | "hBinop" UNOP_BNOT "[" (expression|hslice) "]"
+
224 | hpostfix
+
225 | hprefix
+
226 | hunopdec
+
227 // | hreduceop
+
228 hpostfix: "hPostfix" (UNOP_INC | UNOP_DEC) "[" expression "]"
+
229 hprefix: "hPrefix" (UNOP_INC | UNOP_DEC) "[" expression "]"
+
230 hunopdec: "hUnop" "-" "-" "[" expression "]" // hack to work with --
+
231
+
232 hreduceop: "hBuiltinFunction" REDUCE_OP "[" expression "]"
+
233 REDUCE_OP: "and_reduce" | "or_reduce" | "xor_reduce" | "nand_reduce" | "nor_reduce" | "xnor_reduce"
+
234
+
235 // Separate '=' out from so that it is not an expression but a standalone statement
+
236 blkassign: "hBinop" "=" "[" (hconcat | hvarref | hliteral | hfieldaccess) (hbuiltin | htotype | hfieldaccess | hcomma | htobool | hunop | hvarref | hliteral | harrayref | hnsbinop | hunimp | syscread | hmethodcall | hcondop | hconcat) "]"
+
237 | "hBinop" "=" "[" harrayref arrayrhs "]"
+
238 | nblkassign
+
239 | vassign
+
240 // These assignments are only intended to be used as blocking assignments
+
241 // The semantics may not be straightforward in clocked block
+
242 hcompoundassign: "hBinop" COMPOUND_ASSIGN "[" hvarref hvarref "]"
+
243 ?arrayrhs: horreduce
+
244 | htobool
+
245 | htoint
+
246 | htouint
+
247 | htolong
+
248 | htoulong
+
249 | hvarref
+
250 | hliteral
+
251 | harrayref
+
252 | hnsbinop
+
253 | hunimp
+
254 | syscread
+
255 | hmethodcall
+
256 | hunop
+
257 | hliteral
+
258 | hcondop
+
259 | htoint
+
260 | hconcat
+
261
+
262 nblkassign: "hSigAssignL" "write" "[" (hliteral | hvarref | harrayref) (syscread | hliteral | harrayref | hunop | hvarref | htobool | hmethodcall | hfieldaccess) "]"
+
263 | "hSigAssignL" "write" "[" (hliteral | hvarref | harrayref) nonrefexp "]"
+
264 hconcat: ("hBinop" "concat" "[" | "hMethodCall" "NONAME" "[" "hBinop" "concat" "NOLIST") (expression|harrayref|hconcat) (expression|harrayref|hconcat) "]"
+
265
+
266
+
267 vassign: "hVarAssign" "NONAME" "[" hvarref (hnsbinop | syscread | hliteral | hvarref | expression | harrayref | hvarinitlist)"]"
+
268 // Normal expressions that can not be expanded
+
269 nonrefexp: hbinop
+
270
+
271 harrayref: "hBinop" "ARRAYSUBSCRIPT" "[" (hliteral | hvarref | syscread | harrayref) expression "]"
+
272 | hslice
+
273 hslice: "hBinop" "SLICE" "[" hvarref expression expression "]"
+
274 | "hBuiltinFunction" "range" "[" (hvarref | harrayref | syscread | hmethodcall ) expression expression "]"
+
275 | "hBuiltinFunction" "bit" "[" (hvarref | harrayref | syscread | hmethodcall) expression "]"
+
276 hnsbinop: "hBinop" NONSUBBINOP "[" (expression|hslice) (expression|hslice) "]"
+
277
+
278 // Temporary hack to handle -= / +=
+
279 hmodassign : "hBinop" hmodassigntype "[" hvarref (hliteral|hvarref|hbinop) "]"
+
280 ?hmodassigntype : haddassign | hsubassign
+
281 haddassign : "+" "="
+
282 hsubassign : "-" "="
+
283
+
284 // Comma op is the C++ comma where the latter part of the comma expression is returned
+
285 hcomma: "hBinop" "," "[" (blkassign | hunop | hmethodcall) (hunop | expression | hmethodcall) "]"
+
286
+
287 hmethodcall: "hMethodCall" hidorstr "[" (expression|hslice) (expression|hslice)* "]"
+
288 | "hMethodCall" hidorstr "NOLIST"
+
289
+
290 ?hidorstr: ID | STRING
+
291 hliteral: idlit | numlit | numlitwidth
+
292 idlit : "hLiteral" ID "NOLIST"
+
293 numlit : "hLiteral" NUM "NOLIST"
+
294 numlitwidth : "hLiteral" NUM "[" htypeinfo "]"
+
295 htypeinfo: "hTypeinfo" "NONAME" "[" htype "]"
+
296 | "hTypeinfo" "NONAME" "NOLIST" // ?
+
297 htype: htypearray
+
298 | "hType" TYPESTR "NOLIST"
+
299 | "hType" TYPESTR "[" (htype|htypeint)+ "]" // nested types, type parameters
+
300 hdeptype: "hType" "typename" TYPESTR "NOLIST"
+
301 htypearray : "hType" "array" arraydimlength "[" (htype|htypeint)+ "]"
+
302 arraydimlength: "##" NUM
+
303 | "##" NUM arraydimlength
+
304 htypeint: "hLiteral" NUM "NOLIST" // integer type parameters
+
305 htypedef: "hTypedef" TYPESTR "[" htypefields "]"
+
306
+
307 htypefields: htypefield*
+
308 htypefield: "hTypeField" ID "[" (htype|hdeptype) "]"
+
309
+
310 ID: /[a-zA-Z_][a-zA-Z_0-9#]*/
+
311 NUM: /(\+|\-)?[0-9]+/
+
312 TYPESTR: /[a-zA-Z_]([a-zA-Z_0-9]|::)*/
+
313 BINOP: COMPOUND_ASSIGN | NONSUBBINOP | "ARRAYSUBSCRIPT" | "SLICE" | "concat"
+
314 NONSUBBINOP: "+=" | "-=" | "*=" | "/=" | "==" | "<<" | ">>" | "&&" | "||" | "|" | ">=" | ">" | ARITHOP | "<=" | "<" | "%" | "!=" | "&" | "@="
+
315 ARITHOP: "+" | "-" | "*" | "/" | "^"
+
316 UNOP_NON_SUB: "!" | "++" | "-" | "+"
+
317 UNOP_SUB: "-"
+
318 UNOP_DEC: "--"
+
319 UNOP_INC: "++"
+
320 // These are temporary nodes that should be removed when hBinop is fixed
+
321 UNOP_BOR: "|"
+
322 UNOP_NOT: "!"
+
323 UNOP_BNOT: "~"
+
324 // alias_translation.py
+
325 COMPOUND_ASSIGN: "*=" | "+=" | "-=" | "/=" | "%=" | "|=" | "&=" | "^=" | "<<=" | ">>="
+
326 %import common.WS
+
327 %ignore WS
+
328 %import common.ESCAPED_STRING -> STRING
+
329 ''', parser='lalr', debug=True, propagate_positions=True)
+
330
+
331
+
+ +
333 """raised when a hcode node is not as expected"""
+
334 pass
- +
+ diff --git a/graph_legend.html b/graph_legend.html index 3e7bb02b..7b9052cb 100644 --- a/graph_legend.html +++ b/graph_legend.html @@ -3,13 +3,15 @@ - + systemc-clang: Graph Legend + + @@ -32,24 +34,35 @@ - + + +
+
+
diff --git a/graph_legend.png b/graph_legend.png index 9fd8831b..02fbdac8 100644 Binary files a/graph_legend.png and b/graph_legend.png differ diff --git a/hNode_8h.html b/hNode_8h.html index 2e99f758..74aeba89 100644 --- a/hNode_8h.html +++ b/hNode_8h.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/hNode.h File Reference + + @@ -32,23 +34,33 @@
- + + + +
Classes | @@ -103,9 +116,9 @@ - + - + @@ -117,7 +130,7 @@ - + @@ -135,7 +148,7 @@
- + @@ -144,13 +157,13 @@ - + - + @@ -183,16 +196,16 @@ - +

Namespaces

namespace  hnode
namespace  hnode
 
- + - +

Macros

#define HNODEen
 
#define etype(x)   x
#define etype(x)
 
#define etype(x)   #x
#define etype(x)
 
- - + +

@@ -227,12 +240,13 @@

#define etype

( x)   x x)
- +Value:
x
+

Definition at line 100 of file hNode.h.

@@ -246,12 +260,13 @@

#define etype ( - x) -    #x + x) +

@@ -275,7 +290,8 @@

+

diff --git a/hNode_8h__dep__incl.map b/hNode_8h__dep__incl.map index a5cd7ede..7762d84c 100644 --- a/hNode_8h__dep__incl.map +++ b/hNode_8h__dep__incl.map @@ -1,6 +1,6 @@ - + @@ -9,13 +9,13 @@ - + - + diff --git a/hNode_8h__incl.map b/hNode_8h__incl.map index 87fee22b..ec7657a5 100644 --- a/hNode_8h__incl.map +++ b/hNode_8h__incl.map @@ -4,9 +4,9 @@ - + - + @@ -18,7 +18,7 @@ - + diff --git a/hNode_8h_source.html b/hNode_8h_source.html index c03e86b0..2f46abf0 100644 --- a/hNode_8h_source.html +++ b/hNode_8h_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/hNode.h Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
hNode.h
@@ -199,7 +207,7 @@
115
116 //hNode() { is_leaf = true;}
-
117 hNode(bool lf) {
+
117 hNode(bool lf) {
118 //is_leaf = lf;
120 h_name = "";
@@ -207,18 +215,18 @@
122
- -
124 h_op = h;
+ +
124 h_op = h;
125 h_name = "";
126 }
127
128
-
129 hNode(string s, hdlopsEnum h) {
+
129 hNode(string s, hdlopsEnum h) {
130 //is_leaf = true;
-
131 h_op = h;
-
132 h_name = s;
+
131 h_op = h;
+
132 h_name = s;
133 }
134
@@ -227,32 +235,32 @@
136 //return;
137 if (!child_list.empty()) {
138 //list<hNodep>::iterator it;
-
139 vector<hNodep>::iterator it;
-
140 for (it = child_list.begin(); it != child_list.end(); it++) {
+
139 vector<hNodep>::iterator it;
+
140 for (it = child_list.begin(); it != child_list.end(); it++) {
141 /* if (*it) */
142 /* cout << "child list element " << *it << "\n"; */
-
143 if (*it) delete *it;
+
143 if (*it) delete *it;
144 }
145 }
146 }
147
-
148 void set(hdlopsEnum h, string s = "") {
-
149 h_op = h;
-
150 h_name = s;
+
148 void set(hdlopsEnum h, string s = "") {
+
149 h_op = h;
+
150 h_name = s;
151 }
152
-
153 void set(string s = "") {
-
154 h_name = s;
+
153 void set(string s = "") {
+
154 h_name = s;
155 }
156
- -
158 child_list.push_back(hnew);
+
157 void append(hNodep hnew) {
+
158 child_list.push_back(hnew);
159 }
160
@@ -263,8 +271,8 @@
164
- -
166 return hdlop_pn[static_cast<int>(opc)];
+
165 string printopc(hdlopsEnum opc) {
+
166 return hdlop_pn[static_cast<int>(opc)];
167 }
168
@@ -283,36 +291,36 @@
177
178 // for completeness
- -
180 const int n = sizeof (hdlop_pn)/sizeof (hdlop_pn[0]);
-
181 for (int i = 0; i < n; i++) {
-
182 if (hdlop_pn[i] == st)
-
183 return (hdlopsEnum) i;
+ +
180 const int n = sizeof (hdlop_pn)/sizeof (hdlop_pn[0]);
+
181 for (int i = 0; i < n; i++) {
+
182 if (hdlop_pn[i] == st)
+
183 return (hdlopsEnum) i;
184 }
185 return hLast;
186 }
187 //void print(llvm::raw_fd_ostream & modelout, unsigned int indnt=2) {
-
188 void print(llvm::raw_ostream & modelout=llvm::outs(), unsigned int indnt=2) {
-
189 modelout.indent(indnt);
-
190 modelout << printopc(h_op) << " ";
+
188 void print(llvm::raw_ostream & modelout=llvm::outs(), unsigned int indnt=2) {
+
189 modelout.indent(indnt);
+
190 modelout << printopc(h_op) << " ";
191 if (h_name == "")
-
192 modelout << " NONAME";
-
193 else modelout << h_name;
+
192 modelout << " NONAME";
+
193 else modelout << h_name;
194 if (child_list.empty())
-
195 modelout << " NOLIST\n";
+
195 modelout << " NOLIST\n";
196 else {
-
197 modelout << " [\n";
-
198 for (auto child : child_list)
-
199 if (child)
-
200 child->print(modelout, indnt+2);
+
197 modelout << " [\n";
+
198 for (auto child : child_list)
+
199 if (child)
+
200 child->print(modelout, indnt+2);
201 else {
-
202 modelout.indent(indnt+2);
-
203 modelout << "<null child>\n";
+
202 modelout.indent(indnt+2);
+
203 modelout << "<null child>\n";
204 }
-
205 modelout.indent(indnt);
-
206 modelout << "]\n";
+
205 modelout.indent(indnt);
+
206 modelout << "]\n";
207 }
208 }
@@ -321,7 +329,7 @@
211 void dumphcode() {
212 print(llvm::outs(), 2);
-
213 LLVM_DEBUG(print(llvm::dbgs(), 2));
+
213 LLVM_DEBUG(print(llvm::dbgs(), 2));
214 }
215
@@ -877,7 +885,8 @@ + diff --git a/hcode2verilog_8py.html b/hcode2verilog_8py.html index 1d78f44f..38feba2f 100644 --- a/hcode2verilog_8py.html +++ b/hcode2verilog_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/hcode2verilog.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Namespaces | @@ -88,7 +101,7 @@ - +

Namespaces

namespace  hcode2verilog
namespace  hcode2verilog
 

@@ -110,7 +123,8 @@ + diff --git a/hcode2verilog_8py_source.html b/hcode2verilog_8py_source.html index dba467eb..567322eb 100644 --- a/hcode2verilog_8py_source.html +++ b/hcode2verilog_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/hcode2verilog.py Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
hcode2verilog.py
@@ -183,7 +191,8 @@
+ diff --git a/helpers_8py.html b/helpers_8py.html index 44ab89c3..b6c4d48b 100644 --- a/helpers_8py.html +++ b/helpers_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/helpers.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -86,17 +99,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.helpers
namespace  parselib.transforms.helpers
 
+
diff --git a/helpers_8py_source.html b/helpers_8py_source.html index 1ddb8516..15575adb 100644 --- a/helpers_8py_source.html +++ b/helpers_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/helpers.py Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
helpers.py
@@ -91,7 +99,8 @@
+ diff --git a/hierarchy.html b/hierarchy.html index a69e3987..af5feb9e 100644 --- a/hierarchy.html +++ b/hierarchy.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Hierarchy + + @@ -32,24 +34,35 @@ - + + +
+
 Csystemc_hdl::HDLThread  CHDLType  Chnode::hNode - Csystemc_clang::InterfaceDecl - CMatchFinder::MatchCallback - CTemplateParametersMatcher - Csc_ast_matchers::CallerCalleeMatcher - Csc_ast_matchers::FindMemberFieldMatcher - Csc_ast_matchers::InstanceArgumentMatcher - Csc_ast_matchers::InstanceMatcherClass InstanceMatcher - Csc_ast_matchers::ModuleDeclarationMatcherClass ModuleDeclarationMatcher - Csc_ast_matchers::NetlistMatcher - Csc_ast_matchers::PortMatcherClass PortMatcher - Csc_ast_matchers::ResetMatcher - Csc_ast_matchers::SensitiveOperatorCallMatcher - Csc_ast_matchers::SensitivityMatcherClass SensitivityMatcher - Csc_ast_matchers::utils::NamespaceMatcher - Csystemc_clang::BreakMatcher - Csystemc_clang::Model - Csystemc_clang::ModuleInstanceForward declarations - Csc_ast_matchers::ModuleInstanceType - Chnode::name_serve - Chnode::names_t - Chnode::newname_map_t< T > - Chnode::hfunc_name_map_t - Cparselib.transforms.node.Node - Csystemc_clang::Node - Csystemc_clang::NotifyCalls - Csystemc_clang::NotifyContainer - Cobject - Cparselib.compound.aggregate - Cparselib.primitives.TypeContext - Cparselib.primitives.vmodule - Cparselib.transforms.type_node.TypeNode - Csystemc_clang::PluginAction - Csystemc_clang::PortBinding - Csystemc_clang::PortDecl - Csystemc_clang::SignalDecl - Cparselib.primitives.Primitive - Cparselib.primitives.__func_inout - Cparselib.primitives.__int128 - Cparselib.primitives.array - Cparselib.primitives.cppbool - Cparselib.primitives.cppchar - Cparselib.primitives.cppint - Cparselib.primitives.cpplonglong - Cparselib.primitives.cppshort - Cparselib.primitives.cppsignedchar - Cparselib.primitives.cppuint - Cparselib.primitives.cppulonglong - Cparselib.primitives.cppunsignedchar - Cparselib.primitives.cppushort - Cparselib.primitives.double - Cparselib.primitives.long - Cparselib.primitives.sc_bigint - Cparselib.primitives.sc_biguint - Cparselib.primitives.sc_bv - Cparselib.primitives.sc_clock - Cparselib.primitives.sc_in - Cparselib.primitives.sc_int - Cparselib.primitives.sc_out - Cparselib.primitives.sc_rvd_in - Cparselib.primitives.sc_rvd_out - Cparselib.primitives.sc_signal - Cparselib.primitives.sc_uint - Cparselib.primitives.unsigned___int128 - Cparselib.primitives.unsigned_long - Cparselib.primitives.void - Csystemc_clang::ProcessDecl - Cclang::RecursiveASTVisitor - Csystemc_clang::FindArgument - Csystemc_clang::FindConstructor - Csystemc_clang::FindEntryFunctions - Csystemc_clang::FindEvents - Csystemc_clang::FindGPUMacro - Csystemc_clang::FindGlobalEvents - Csystemc_clang::FindNetlist - Csystemc_clang::FindNotify - Csystemc_clang::FindSCMain - Csystemc_clang::FindSimTime - Csystemc_clang::FindTLMInterfaces - Csystemc_clang::FindTemplateParameters - Csystemc_clang::FindTemplateTypes - Csystemc_clang::FindWait - Csystemc_clang::SystemCConsumerThis is the main consumer class that beings the parsing of SystemC - Csystemc_hdl::HDLBody - Csystemc_hdl::StmtVisitor - Csystemc_clang::SplitCFG - Csystemc_clang::SplitCFGBlock - Csystemc_clang::SplitCFGPathInfo - Csystemc_clang::State - Csystemc_clang::SplitCFGBlock::SuccessorIterator - Csystemc_clang::SupplementaryInfo - Csystemc_clang::SusCFG - Csystemc-clang.SystemCClang - Csystemc_clang::TemplateType - Csystemc_clang::Transition - Csystemc_clang::Tree< T > - Csystemc_clang::Tree< systemc_clang::TemplateType > - Csystemc_clang::TreeNode< T >Class TreeNode<T> - Csystemc_clang::TreeNode< systemc_clang::TemplateType > - Cparselib.transforms.node.TypeDefType - CHDLType::usertype_info_t - Chnode::util - Csystemc_clang::Utility - Csystemc_clang::FindSimTime - Csystemc_clang::GlobalSuspensionAutomata - Csystemc_clang::SuspensionAutomata - Cparselib.transforms.top_down.VariableBindings - Cparselib.transforms.passes.VerilogTranslator - Csystemc_clang::WaitCalls - Csystemc_clang::WaitContainer - CInterpreter - Cparselib.transforms.top_down.TopDown - Cparselib.transforms.alias_translation.AliasTranslation - Cparselib.transforms.comma_transformation.CommaTransformation - Cparselib.transforms.function_info_pass.FunctionInfoPass - Cparselib.transforms.function_info_pass.FunctionInfoPass2 - Cparselib.transforms.function_param_marker.FunctionParamMarker - Cparselib.transforms.function_transformation_pass.FunctionTransformationPass - Cparselib.transforms.literal_expansion.LiteralExpansion - Cparselib.transforms.node_merge.NodeMergePass - Cparselib.transforms.node_movement.NodeMovement - Cparselib.transforms.port_expansion.PortExpansion - Cparselib.transforms.reorder_mod_init_block.ReorderModInitBlock - Cparselib.transforms.slice_merge.SliceMerge - Cparselib.transforms.sort_var_decl.SortVarDecl - Cparselib.transforms.structure_collector.StructureCollector - Cparselib.transforms.typedef_expansion.TypedefExpansion - Cparselib.transforms.typedef_filter.TypeDefCleanup - Cparselib.transforms.typedef_filter.TypeDefFilter - Cparselib.transforms.verilog_tranlation.VerilogTranslationPass - CTransformer - Cparselib.transforms.type_collector.TypeCollector - CTree - Cparselib.transforms.name_stub.FuncNameStub - Cparselib.transforms.name_stub.FuncParamNameStub - Cparselib.transforms.name_stub.ProcessVarNameStub - Cparselib.transforms.node.Always - Cparselib.transforms.node.ArrayDeref - Cparselib.transforms.node.Expression - Cparselib.transforms.node.Statement + Cparselib.transforms.interface_generation.Interface + Csystemc_clang::InterfaceDecl + CMatchFinder::MatchCallback + CTemplateParametersMatcher + Csc_ast_matchers::CallerCalleeMatcher + Csc_ast_matchers::FindMemberFieldMatcher + Csc_ast_matchers::InstanceArgumentMatcher + Csc_ast_matchers::InstanceMatcherClass InstanceMatcher + Csc_ast_matchers::ModuleDeclarationMatcherClass ModuleDeclarationMatcher + Csc_ast_matchers::NetlistMatcher + Csc_ast_matchers::PortMatcherClass PortMatcher + Csc_ast_matchers::ResetMatcher + Csc_ast_matchers::SensitiveOperatorCallMatcher + Csc_ast_matchers::SensitivityMatcherClass SensitivityMatcher + Csc_ast_matchers::utils::NamespaceMatcher + Csystemc_clang::BreakMatcher + Csystemc_clang::Model + Csystemc_clang::ModuleInstanceForward declarations + Csc_ast_matchers::ModuleInstanceType + Chnode::name_serve + Chnode::names_t + Chnode::newname_map_t< T > + Chnode::hfunc_name_map_t + Cparselib.transforms.node.Node + Csystemc_clang::Node + Csystemc_clang::NotifyCalls + Csystemc_clang::NotifyContainer + Cobject + Cparselib.compound.aggregate + Cparselib.primitives.TypeContext + Cparselib.primitives.vmodule + Cparselib.transforms.type_node.TypeNode + Cparselib.utils.ContextManager + Csystemc_clang::PluginAction + Csystemc_clang::PortBinding + Cparselib.transforms.interface_generation.PortDecl + Csystemc_clang::PortDecl + Csystemc_clang::SignalDecl + Cparselib.primitives.Primitive + Cparselib.primitives.__func_inout + Cparselib.primitives.__int128 + Cparselib.primitives.array + Cparselib.primitives.cppbool + Cparselib.primitives.cppchar + Cparselib.primitives.cppint + Cparselib.primitives.cpplonglong + Cparselib.primitives.cppshort + Cparselib.primitives.cppsignedchar + Cparselib.primitives.cppuint + Cparselib.primitives.cppulonglong + Cparselib.primitives.cppunsignedchar + Cparselib.primitives.cppushort + Cparselib.primitives.double + Cparselib.primitives.long + Cparselib.primitives.sc_bigint + Cparselib.primitives.sc_biguint + Cparselib.primitives.sc_bv + Cparselib.primitives.sc_clock + Cparselib.primitives.sc_in + Cparselib.primitives.sc_int + Cparselib.primitives.sc_out + Cparselib.primitives.sc_rvd_in + Cparselib.primitives.sc_rvd_out + Cparselib.primitives.sc_signal + Cparselib.primitives.sc_uint + Cparselib.primitives.unsigned___int128 + Cparselib.primitives.unsigned_long + Cparselib.primitives.void + Csystemc_clang::ProcessDecl + Cclang::RecursiveASTVisitor + Csystemc_clang::FindArgument + Csystemc_clang::FindConstructor + Csystemc_clang::FindEntryFunctions + Csystemc_clang::FindEvents + Csystemc_clang::FindGPUMacro + Csystemc_clang::FindGlobalEvents + Csystemc_clang::FindNetlist + Csystemc_clang::FindNotify + Csystemc_clang::FindSCMain + Csystemc_clang::FindSimTime + Csystemc_clang::FindTLMInterfaces + Csystemc_clang::FindTemplateParameters + Csystemc_clang::FindTemplateTypes + Csystemc_clang::FindWait + Csystemc_clang::SystemCConsumerThis is the main consumer class that beings the parsing of SystemC + Csystemc_hdl::HDLBody + Csystemc_hdl::StmtVisitor + Csystemc_clang::SplitCFG + Csystemc_clang::SplitCFGBlock + Csystemc_clang::SplitCFGPathInfo + Csystemc_clang::State + Csystemc_clang::SplitCFGBlock::SuccessorIterator + Csystemc_clang::SupplementaryInfo + Csystemc_clang::SusCFG + Csystemc-clang.SystemCClang + Csystemc_clang::TemplateType + Csystemc_clang::Transition + Csystemc_clang::Tree< T > + Csystemc_clang::Tree< systemc_clang::TemplateType > + Csystemc_clang::TreeNode< T >Class TreeNode<T> + Csystemc_clang::TreeNode< systemc_clang::TemplateType > + Cparselib.transforms.node.TypeDefType + CHDLType::usertype_info_t + Chnode::util + Csystemc_clang::Utility + Csystemc_clang::FindSimTime + Csystemc_clang::GlobalSuspensionAutomata + Csystemc_clang::SuspensionAutomata + Cparselib.transforms.top_down.VariableBindings + Cparselib.transforms.passes.VerilogTranslator + Csystemc_clang::WaitCalls + Csystemc_clang::WaitContainer + CInterpreter + Cparselib.transforms.top_down.TopDown + Cparselib.transforms.alias_translation.AliasTranslation + Cparselib.transforms.comma_transformation.CommaTransformation + Cparselib.transforms.function_info_pass.FunctionInfoPass + Cparselib.transforms.function_info_pass.FunctionInfoPass2 + Cparselib.transforms.function_param_marker.FunctionParamMarker + Cparselib.transforms.function_transformation_pass.FunctionTransformationPass + Cparselib.transforms.interface_generation.InterfaceGeneration + Cparselib.transforms.interface_generation.InterfaceReplacement + Cparselib.transforms.literal_expansion.LiteralExpansion + Cparselib.transforms.literal_expansion.LiteralExpansion2 + Cparselib.transforms.node_merge.NodeMergePass + Cparselib.transforms.node_movement.ArrayPortMovement + Cparselib.transforms.node_movement.NodeMovement + Cparselib.transforms.passes.PrettyPrintModule + Cparselib.transforms.port_expansion.PortExpansion + Cparselib.transforms.portbinding_recollect.LowerComplexPort + Cparselib.transforms.portbinding_recollect.PortDirectionCollector + Cparselib.transforms.portbinding_recollect.PortbindingPrecheck + Cparselib.transforms.portbinding_recollect.PortbindingRecollect + Cparselib.transforms.reorder_mod_init_block.ReorderModInitBlock + Cparselib.transforms.sensevar_movement.SensevarMovement + Cparselib.transforms.slice_merge.SliceMerge + Cparselib.transforms.sort_var_decl.SortVarDecl + Cparselib.transforms.structure_collector.StructureCollector + Cparselib.transforms.typedef_expansion.TypedefExpansion + Cparselib.transforms.typedef_filter.TypeDefCleanup + Cparselib.transforms.typedef_filter.TypeDefFilter + Cparselib.transforms.verilog_tranlation.VerilogTranslationPass + CTransformer + Cparselib.transforms.type_collector.TypeCollector + CTree + Cparselib.transforms.name_stub.FuncNameStub + Cparselib.transforms.name_stub.FuncParamNameStub + Cparselib.transforms.name_stub.ProcessVarNameStub + Cparselib.transforms.node.Always + Cparselib.transforms.node.ArrayDeref + Cparselib.transforms.node.Expression + Cparselib.transforms.node.Statement
+ diff --git a/index.html b/index.html index f1d418df..0e255f42 100644 --- a/index.html +++ b/index.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang is a parser for SystemC constructs built using clang. + + @@ -32,24 +34,35 @@ - + + +
+
Documentation

There is a read the docs documentation available at: https://systemc-clang.readthedocs.io/

- + + diff --git a/inherit_graph_0.map b/inherit_graph_0.map index 151b0ba4..c8184002 100644 --- a/inherit_graph_0.map +++ b/inherit_graph_0.map @@ -24,29 +24,29 @@ - + - + - + - + - + - + - + - + diff --git a/inherit_graph_1.map b/inherit_graph_1.map index 8b458621..7cc21c60 100644 --- a/inherit_graph_1.map +++ b/inherit_graph_1.map @@ -2,6 +2,6 @@ - + diff --git a/inherit_graph_11.map b/inherit_graph_11.map index d706c340..4cc6d2f4 100644 --- a/inherit_graph_11.map +++ b/inherit_graph_11.map @@ -1,41 +1,61 @@ - - - + + + - + - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/inherit_graph_11.md5 b/inherit_graph_11.md5 index 3ad694fe..7dccb9f8 100644 --- a/inherit_graph_11.md5 +++ b/inherit_graph_11.md5 @@ -1 +1 @@ -9e24639da217e1685513b24eac0d131f \ No newline at end of file +45ab98669df09ea7e885818360b9fd56 \ No newline at end of file diff --git a/inherit_graph_11.png b/inherit_graph_11.png index 38aa26bc..f979fb92 100644 Binary files a/inherit_graph_11.png and b/inherit_graph_11.png differ diff --git a/inherit_graph_12.map b/inherit_graph_12.map index 7f707d02..9de8a22a 100644 --- a/inherit_graph_12.map +++ b/inherit_graph_12.map @@ -1,29 +1,29 @@ - - + + - - - - - - - - - - - + + + + + + + + + + + - + - - - + + + - - - + + + - + diff --git a/inherit_graph_12.md5 b/inherit_graph_12.md5 index 568995ee..4fa552de 100644 --- a/inherit_graph_12.md5 +++ b/inherit_graph_12.md5 @@ -1 +1 @@ -48e6bed2e8ee4e08dedf248afd177bc6 \ No newline at end of file +28e5d87df61b7f0352017dcd1f859211 \ No newline at end of file diff --git a/inherit_graph_13.map b/inherit_graph_13.map index 1e8c3462..de430af1 100644 --- a/inherit_graph_13.map +++ b/inherit_graph_13.map @@ -1,11 +1,13 @@ - - - - - - - - - + + + + + + + + + + + diff --git a/inherit_graph_13.md5 b/inherit_graph_13.md5 index a947c600..4482d4ff 100644 --- a/inherit_graph_13.md5 +++ b/inherit_graph_13.md5 @@ -1 +1 @@ -ef082e61102b4f6d981f7483a714df80 \ No newline at end of file +bd6c27973c207574f6898f3b22ebb6d7 \ No newline at end of file diff --git a/inherit_graph_13.png b/inherit_graph_13.png index 475fe15c..acdd1d7e 100644 Binary files a/inherit_graph_13.png and b/inherit_graph_13.png differ diff --git a/inherit_graph_14.map b/inherit_graph_14.map index a78adbb5..f67d6df1 100644 --- a/inherit_graph_14.map +++ b/inherit_graph_14.map @@ -1,61 +1,61 @@ - + - + - - - + + + - + - - - + + + - + - - - + + + - + - - - + + + - + - + - + - + - + - + - + - - - + + + - + - + - + - + - - - + + + - + diff --git a/inherit_graph_14.md5 b/inherit_graph_14.md5 index f93525ea..2b2b6d6f 100644 --- a/inherit_graph_14.md5 +++ b/inherit_graph_14.md5 @@ -1 +1 @@ -d2bc3f87bd0625db82d5b8c64bb83798 \ No newline at end of file +f6fb632e27d8b0c65b329a1dfcefde4d \ No newline at end of file diff --git a/inherit_graph_15.map b/inherit_graph_15.map index d2ba3144..8ae82e6d 100644 --- a/inherit_graph_15.map +++ b/inherit_graph_15.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_15.md5 b/inherit_graph_15.md5 index 80fc1020..4807ab10 100644 --- a/inherit_graph_15.md5 +++ b/inherit_graph_15.md5 @@ -1 +1 @@ -f460c2f367b7fae0e1bbaf16612c318e \ No newline at end of file +fc0b62ff72644759d26718ae792149f3 \ No newline at end of file diff --git a/inherit_graph_15.png b/inherit_graph_15.png index 3cd4c7a0..fcf990ae 100644 Binary files a/inherit_graph_15.png and b/inherit_graph_15.png differ diff --git a/inherit_graph_16.map b/inherit_graph_16.map index bd41ff81..cc157e8e 100644 --- a/inherit_graph_16.map +++ b/inherit_graph_16.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_16.md5 b/inherit_graph_16.md5 index 72030ab4..37e15b48 100644 --- a/inherit_graph_16.md5 +++ b/inherit_graph_16.md5 @@ -1 +1 @@ -bc75cc3f5727706dbe3a389e7c6c139c \ No newline at end of file +a1004af665b692a1e8c14f865205569c \ No newline at end of file diff --git a/inherit_graph_16.png b/inherit_graph_16.png index 1fd8ed71..72b6fd0b 100644 Binary files a/inherit_graph_16.png and b/inherit_graph_16.png differ diff --git a/inherit_graph_17.map b/inherit_graph_17.map index 86eccb7e..d2ba3144 100644 --- a/inherit_graph_17.map +++ b/inherit_graph_17.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_17.md5 b/inherit_graph_17.md5 index e15eb9cc..80fc1020 100644 --- a/inherit_graph_17.md5 +++ b/inherit_graph_17.md5 @@ -1 +1 @@ -8f649367dd5353a8582e81859ccb17c8 \ No newline at end of file +f460c2f367b7fae0e1bbaf16612c318e \ No newline at end of file diff --git a/inherit_graph_17.png b/inherit_graph_17.png index 44710005..3cd4c7a0 100644 Binary files a/inherit_graph_17.png and b/inherit_graph_17.png differ diff --git a/inherit_graph_18.map b/inherit_graph_18.map index bf374497..bd41ff81 100644 --- a/inherit_graph_18.map +++ b/inherit_graph_18.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_18.md5 b/inherit_graph_18.md5 index b9720108..72030ab4 100644 --- a/inherit_graph_18.md5 +++ b/inherit_graph_18.md5 @@ -1 +1 @@ -a699a3f5a432b09626ff195be9541e5d \ No newline at end of file +bc75cc3f5727706dbe3a389e7c6c139c \ No newline at end of file diff --git a/inherit_graph_18.png b/inherit_graph_18.png index 2cb5c7fd..1fd8ed71 100644 Binary files a/inherit_graph_18.png and b/inherit_graph_18.png differ diff --git a/inherit_graph_19.map b/inherit_graph_19.map index fe381d68..86eccb7e 100644 --- a/inherit_graph_19.map +++ b/inherit_graph_19.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_19.md5 b/inherit_graph_19.md5 index 1b1a74bc..e15eb9cc 100644 --- a/inherit_graph_19.md5 +++ b/inherit_graph_19.md5 @@ -1 +1 @@ -fafa832832422d4c799002f64f252039 \ No newline at end of file +8f649367dd5353a8582e81859ccb17c8 \ No newline at end of file diff --git a/inherit_graph_19.png b/inherit_graph_19.png index 682e37ff..44710005 100644 Binary files a/inherit_graph_19.png and b/inherit_graph_19.png differ diff --git a/inherit_graph_20.map b/inherit_graph_20.map index db61c80c..bf374497 100644 --- a/inherit_graph_20.map +++ b/inherit_graph_20.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_20.md5 b/inherit_graph_20.md5 index 5ff82dea..b9720108 100644 --- a/inherit_graph_20.md5 +++ b/inherit_graph_20.md5 @@ -1 +1 @@ -59095db1f48a1ef932c8c1488d8a98af \ No newline at end of file +a699a3f5a432b09626ff195be9541e5d \ No newline at end of file diff --git a/inherit_graph_20.png b/inherit_graph_20.png index b38e9f25..2cb5c7fd 100644 Binary files a/inherit_graph_20.png and b/inherit_graph_20.png differ diff --git a/inherit_graph_21.map b/inherit_graph_21.map index 17e19c1e..fe381d68 100644 --- a/inherit_graph_21.map +++ b/inherit_graph_21.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_21.md5 b/inherit_graph_21.md5 index cafc23a2..1b1a74bc 100644 --- a/inherit_graph_21.md5 +++ b/inherit_graph_21.md5 @@ -1 +1 @@ -0837204e091de9f7824092b5dc48fbca \ No newline at end of file +fafa832832422d4c799002f64f252039 \ No newline at end of file diff --git a/inherit_graph_21.png b/inherit_graph_21.png index 60d9d637..682e37ff 100644 Binary files a/inherit_graph_21.png and b/inherit_graph_21.png differ diff --git a/inherit_graph_22.map b/inherit_graph_22.map index 5a3439af..db61c80c 100644 --- a/inherit_graph_22.map +++ b/inherit_graph_22.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_22.md5 b/inherit_graph_22.md5 index 73940d8d..5ff82dea 100644 --- a/inherit_graph_22.md5 +++ b/inherit_graph_22.md5 @@ -1 +1 @@ -991421892ea118efbf27c2a9e3d5ac3a \ No newline at end of file +59095db1f48a1ef932c8c1488d8a98af \ No newline at end of file diff --git a/inherit_graph_22.png b/inherit_graph_22.png index 9853e10c..b38e9f25 100644 Binary files a/inherit_graph_22.png and b/inherit_graph_22.png differ diff --git a/inherit_graph_23.map b/inherit_graph_23.map index 500d8f92..17e19c1e 100644 --- a/inherit_graph_23.map +++ b/inherit_graph_23.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_23.md5 b/inherit_graph_23.md5 index 5c32c20e..cafc23a2 100644 --- a/inherit_graph_23.md5 +++ b/inherit_graph_23.md5 @@ -1 +1 @@ -c57f83dea97c9dd9eb11bd92ed1937e8 \ No newline at end of file +0837204e091de9f7824092b5dc48fbca \ No newline at end of file diff --git a/inherit_graph_23.png b/inherit_graph_23.png index 32f51858..60d9d637 100644 Binary files a/inherit_graph_23.png and b/inherit_graph_23.png differ diff --git a/inherit_graph_24.map b/inherit_graph_24.map index a37302de..5a3439af 100644 --- a/inherit_graph_24.map +++ b/inherit_graph_24.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_24.md5 b/inherit_graph_24.md5 index 1e3aef1f..73940d8d 100644 --- a/inherit_graph_24.md5 +++ b/inherit_graph_24.md5 @@ -1 +1 @@ -310a501c1b17a360e138a6874da11db9 \ No newline at end of file +991421892ea118efbf27c2a9e3d5ac3a \ No newline at end of file diff --git a/inherit_graph_24.png b/inherit_graph_24.png index b5ef12e6..9853e10c 100644 Binary files a/inherit_graph_24.png and b/inherit_graph_24.png differ diff --git a/inherit_graph_25.map b/inherit_graph_25.map index f41f0d12..500d8f92 100644 --- a/inherit_graph_25.map +++ b/inherit_graph_25.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_25.md5 b/inherit_graph_25.md5 index 5ba90920..5c32c20e 100644 --- a/inherit_graph_25.md5 +++ b/inherit_graph_25.md5 @@ -1 +1 @@ -2b9e5e364c07decc05778be4abdc3a5c \ No newline at end of file +c57f83dea97c9dd9eb11bd92ed1937e8 \ No newline at end of file diff --git a/inherit_graph_25.png b/inherit_graph_25.png index 008f03b7..32f51858 100644 Binary files a/inherit_graph_25.png and b/inherit_graph_25.png differ diff --git a/inherit_graph_26.map b/inherit_graph_26.map index 80e3dac8..a37302de 100644 --- a/inherit_graph_26.map +++ b/inherit_graph_26.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_26.md5 b/inherit_graph_26.md5 index 1678802f..1e3aef1f 100644 --- a/inherit_graph_26.md5 +++ b/inherit_graph_26.md5 @@ -1 +1 @@ -4903bcc29732f96606c96b6e4ddf0dae \ No newline at end of file +310a501c1b17a360e138a6874da11db9 \ No newline at end of file diff --git a/inherit_graph_26.png b/inherit_graph_26.png index 581098e0..b5ef12e6 100644 Binary files a/inherit_graph_26.png and b/inherit_graph_26.png differ diff --git a/inherit_graph_27.map b/inherit_graph_27.map index a6d94b8b..f41f0d12 100644 --- a/inherit_graph_27.map +++ b/inherit_graph_27.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_27.md5 b/inherit_graph_27.md5 index e33585de..5ba90920 100644 --- a/inherit_graph_27.md5 +++ b/inherit_graph_27.md5 @@ -1 +1 @@ -33b24ae50598472228c4558d8fa367a0 \ No newline at end of file +2b9e5e364c07decc05778be4abdc3a5c \ No newline at end of file diff --git a/inherit_graph_27.png b/inherit_graph_27.png index 09301b12..008f03b7 100644 Binary files a/inherit_graph_27.png and b/inherit_graph_27.png differ diff --git a/inherit_graph_28.map b/inherit_graph_28.map index f7eb8400..80e3dac8 100644 --- a/inherit_graph_28.map +++ b/inherit_graph_28.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_28.md5 b/inherit_graph_28.md5 index af035678..1678802f 100644 --- a/inherit_graph_28.md5 +++ b/inherit_graph_28.md5 @@ -1 +1 @@ -612194294208425ff1904e205ccde573 \ No newline at end of file +4903bcc29732f96606c96b6e4ddf0dae \ No newline at end of file diff --git a/inherit_graph_28.png b/inherit_graph_28.png index 37ff6734..581098e0 100644 Binary files a/inherit_graph_28.png and b/inherit_graph_28.png differ diff --git a/inherit_graph_29.map b/inherit_graph_29.map index 8de82d5c..a6d94b8b 100644 --- a/inherit_graph_29.map +++ b/inherit_graph_29.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_29.md5 b/inherit_graph_29.md5 index fa91afc6..e33585de 100644 --- a/inherit_graph_29.md5 +++ b/inherit_graph_29.md5 @@ -1 +1 @@ -5bce6efbf33493c526cfac3d5c210363 \ No newline at end of file +33b24ae50598472228c4558d8fa367a0 \ No newline at end of file diff --git a/inherit_graph_29.png b/inherit_graph_29.png index 2600127b..09301b12 100644 Binary files a/inherit_graph_29.png and b/inherit_graph_29.png differ diff --git a/inherit_graph_3.map b/inherit_graph_3.map index cbdb9138..9eba8344 100644 --- a/inherit_graph_3.map +++ b/inherit_graph_3.map @@ -6,7 +6,7 @@ - + diff --git a/inherit_graph_30.map b/inherit_graph_30.map index 631e5ca1..f7eb8400 100644 --- a/inherit_graph_30.map +++ b/inherit_graph_30.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_30.md5 b/inherit_graph_30.md5 index b6927b75..af035678 100644 --- a/inherit_graph_30.md5 +++ b/inherit_graph_30.md5 @@ -1 +1 @@ -734e4a7098107bf87ed2f6db974b4a9c \ No newline at end of file +612194294208425ff1904e205ccde573 \ No newline at end of file diff --git a/inherit_graph_30.png b/inherit_graph_30.png index 5f5a9d20..37ff6734 100644 Binary files a/inherit_graph_30.png and b/inherit_graph_30.png differ diff --git a/inherit_graph_31.map b/inherit_graph_31.map index 99eb9e3e..8de82d5c 100644 --- a/inherit_graph_31.map +++ b/inherit_graph_31.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_31.md5 b/inherit_graph_31.md5 index 3b98d1b3..fa91afc6 100644 --- a/inherit_graph_31.md5 +++ b/inherit_graph_31.md5 @@ -1 +1 @@ -7ac39dd80041cd89283331f87d929f1c \ No newline at end of file +5bce6efbf33493c526cfac3d5c210363 \ No newline at end of file diff --git a/inherit_graph_31.png b/inherit_graph_31.png index c6f911af..2600127b 100644 Binary files a/inherit_graph_31.png and b/inherit_graph_31.png differ diff --git a/inherit_graph_32.map b/inherit_graph_32.map index e0e0be7d..631e5ca1 100644 --- a/inherit_graph_32.map +++ b/inherit_graph_32.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_32.md5 b/inherit_graph_32.md5 index 3e35cca9..b6927b75 100644 --- a/inherit_graph_32.md5 +++ b/inherit_graph_32.md5 @@ -1 +1 @@ -af0b027abab9169ee854d9fe219c8dc9 \ No newline at end of file +734e4a7098107bf87ed2f6db974b4a9c \ No newline at end of file diff --git a/inherit_graph_32.png b/inherit_graph_32.png index 14f2f39b..5f5a9d20 100644 Binary files a/inherit_graph_32.png and b/inherit_graph_32.png differ diff --git a/inherit_graph_33.map b/inherit_graph_33.map index abc57247..99eb9e3e 100644 --- a/inherit_graph_33.map +++ b/inherit_graph_33.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_33.md5 b/inherit_graph_33.md5 index 3aeaecde..3b98d1b3 100644 --- a/inherit_graph_33.md5 +++ b/inherit_graph_33.md5 @@ -1 +1 @@ -e5bcba7e5e0167bbef3d70f8440454d0 \ No newline at end of file +7ac39dd80041cd89283331f87d929f1c \ No newline at end of file diff --git a/inherit_graph_33.png b/inherit_graph_33.png index 4dafa7c8..c6f911af 100644 Binary files a/inherit_graph_33.png and b/inherit_graph_33.png differ diff --git a/inherit_graph_34.map b/inherit_graph_34.map index d544acc2..e0e0be7d 100644 --- a/inherit_graph_34.map +++ b/inherit_graph_34.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_34.md5 b/inherit_graph_34.md5 index 2b4e61be..3e35cca9 100644 --- a/inherit_graph_34.md5 +++ b/inherit_graph_34.md5 @@ -1 +1 @@ -ac1664ecfec971d08ca627372ac34103 \ No newline at end of file +af0b027abab9169ee854d9fe219c8dc9 \ No newline at end of file diff --git a/inherit_graph_34.png b/inherit_graph_34.png index ecff50a9..14f2f39b 100644 Binary files a/inherit_graph_34.png and b/inherit_graph_34.png differ diff --git a/inherit_graph_35.map b/inherit_graph_35.map index 8d1a13db..abc57247 100644 --- a/inherit_graph_35.map +++ b/inherit_graph_35.map @@ -1,5 +1,3 @@ - - - + diff --git a/inherit_graph_35.md5 b/inherit_graph_35.md5 index e3ce75e7..3aeaecde 100644 --- a/inherit_graph_35.md5 +++ b/inherit_graph_35.md5 @@ -1 +1 @@ -d615d59da9862b6c8b1cf4f5ecda1940 \ No newline at end of file +e5bcba7e5e0167bbef3d70f8440454d0 \ No newline at end of file diff --git a/inherit_graph_35.png b/inherit_graph_35.png index 060ba903..4dafa7c8 100644 Binary files a/inherit_graph_35.png and b/inherit_graph_35.png differ diff --git a/inherit_graph_36.map b/inherit_graph_36.map index 25ff2f95..d544acc2 100644 --- a/inherit_graph_36.map +++ b/inherit_graph_36.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_36.md5 b/inherit_graph_36.md5 index 142952aa..2b4e61be 100644 --- a/inherit_graph_36.md5 +++ b/inherit_graph_36.md5 @@ -1 +1 @@ -a6a4233f0a36d51a8d62898ec1ab5dd5 \ No newline at end of file +ac1664ecfec971d08ca627372ac34103 \ No newline at end of file diff --git a/inherit_graph_36.png b/inherit_graph_36.png index dae12aa4..ecff50a9 100644 Binary files a/inherit_graph_36.png and b/inherit_graph_36.png differ diff --git a/inherit_graph_37.map b/inherit_graph_37.map index 36857fb7..f695bf75 100644 --- a/inherit_graph_37.map +++ b/inherit_graph_37.map @@ -1,3 +1,5 @@ - + + + diff --git a/inherit_graph_37.md5 b/inherit_graph_37.md5 index 25fe7185..e55383e8 100644 --- a/inherit_graph_37.md5 +++ b/inherit_graph_37.md5 @@ -1 +1 @@ -eee4301683dc6916c2e09365a4640813 \ No newline at end of file +a66e37df8dd380a2cc5e9dd2333e3681 \ No newline at end of file diff --git a/inherit_graph_37.png b/inherit_graph_37.png index 1d309107..060ba903 100644 Binary files a/inherit_graph_37.png and b/inherit_graph_37.png differ diff --git a/inherit_graph_38.map b/inherit_graph_38.map index f08f9586..25ff2f95 100644 --- a/inherit_graph_38.map +++ b/inherit_graph_38.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_38.md5 b/inherit_graph_38.md5 index c492aabc..142952aa 100644 --- a/inherit_graph_38.md5 +++ b/inherit_graph_38.md5 @@ -1 +1 @@ -352c7f5b411bef06959e18cc863f98e5 \ No newline at end of file +a6a4233f0a36d51a8d62898ec1ab5dd5 \ No newline at end of file diff --git a/inherit_graph_38.png b/inherit_graph_38.png index 5e81c202..dae12aa4 100644 Binary files a/inherit_graph_38.png and b/inherit_graph_38.png differ diff --git a/inherit_graph_39.map b/inherit_graph_39.map index b05cac2a..36857fb7 100644 --- a/inherit_graph_39.map +++ b/inherit_graph_39.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_39.md5 b/inherit_graph_39.md5 index b4c248c0..25fe7185 100644 --- a/inherit_graph_39.md5 +++ b/inherit_graph_39.md5 @@ -1 +1 @@ -714ac87293b5e3296bb20f0a77e48863 \ No newline at end of file +eee4301683dc6916c2e09365a4640813 \ No newline at end of file diff --git a/inherit_graph_39.png b/inherit_graph_39.png index 0377ce2d..1d309107 100644 Binary files a/inherit_graph_39.png and b/inherit_graph_39.png differ diff --git a/inherit_graph_40.map b/inherit_graph_40.map index 44d85aba..f08f9586 100644 --- a/inherit_graph_40.map +++ b/inherit_graph_40.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_40.md5 b/inherit_graph_40.md5 index 1f04b324..c492aabc 100644 --- a/inherit_graph_40.md5 +++ b/inherit_graph_40.md5 @@ -1 +1 @@ -39aff7c7ba17ed3a0c8c892ccb0be616 \ No newline at end of file +352c7f5b411bef06959e18cc863f98e5 \ No newline at end of file diff --git a/inherit_graph_40.png b/inherit_graph_40.png index ca4cb281..5e81c202 100644 Binary files a/inherit_graph_40.png and b/inherit_graph_40.png differ diff --git a/inherit_graph_41.map b/inherit_graph_41.map index 010f8470..b05cac2a 100644 --- a/inherit_graph_41.map +++ b/inherit_graph_41.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_41.md5 b/inherit_graph_41.md5 index 4c36591f..b4c248c0 100644 --- a/inherit_graph_41.md5 +++ b/inherit_graph_41.md5 @@ -1 +1 @@ -9e228c81b7c2afaabcca7cbca041924a \ No newline at end of file +714ac87293b5e3296bb20f0a77e48863 \ No newline at end of file diff --git a/inherit_graph_41.png b/inherit_graph_41.png index 721d89ac..0377ce2d 100644 Binary files a/inherit_graph_41.png and b/inherit_graph_41.png differ diff --git a/inherit_graph_42.map b/inherit_graph_42.map index 006bae74..44d85aba 100644 --- a/inherit_graph_42.map +++ b/inherit_graph_42.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_42.md5 b/inherit_graph_42.md5 index 8dc98274..1f04b324 100644 --- a/inherit_graph_42.md5 +++ b/inherit_graph_42.md5 @@ -1 +1 @@ -0ffc84486c0f6b46cc12038d00915a3a \ No newline at end of file +39aff7c7ba17ed3a0c8c892ccb0be616 \ No newline at end of file diff --git a/inherit_graph_42.png b/inherit_graph_42.png index 5c07d030..ca4cb281 100644 Binary files a/inherit_graph_42.png and b/inherit_graph_42.png differ diff --git a/inherit_graph_43.map b/inherit_graph_43.map index 1ac60184..010f8470 100644 --- a/inherit_graph_43.map +++ b/inherit_graph_43.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_43.md5 b/inherit_graph_43.md5 index 1a1058fb..4c36591f 100644 --- a/inherit_graph_43.md5 +++ b/inherit_graph_43.md5 @@ -1 +1 @@ -fb1d8a81adf115ef57864ae1cd1720b6 \ No newline at end of file +9e228c81b7c2afaabcca7cbca041924a \ No newline at end of file diff --git a/inherit_graph_43.png b/inherit_graph_43.png index 7c97556d..721d89ac 100644 Binary files a/inherit_graph_43.png and b/inherit_graph_43.png differ diff --git a/inherit_graph_44.map b/inherit_graph_44.map index 0876bd63..006bae74 100644 --- a/inherit_graph_44.map +++ b/inherit_graph_44.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_44.md5 b/inherit_graph_44.md5 index 7416eae8..8dc98274 100644 --- a/inherit_graph_44.md5 +++ b/inherit_graph_44.md5 @@ -1 +1 @@ -8a88283fa84fc4871f8fb4bbdb458e29 \ No newline at end of file +0ffc84486c0f6b46cc12038d00915a3a \ No newline at end of file diff --git a/inherit_graph_44.png b/inherit_graph_44.png index 48e01e00..5c07d030 100644 Binary files a/inherit_graph_44.png and b/inherit_graph_44.png differ diff --git a/inherit_graph_45.map b/inherit_graph_45.map index 736ea47d..1ac60184 100644 --- a/inherit_graph_45.map +++ b/inherit_graph_45.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_45.md5 b/inherit_graph_45.md5 index 85d226b8..1a1058fb 100644 --- a/inherit_graph_45.md5 +++ b/inherit_graph_45.md5 @@ -1 +1 @@ -bd5a09c113742679f338f6d775f9a0f3 \ No newline at end of file +fb1d8a81adf115ef57864ae1cd1720b6 \ No newline at end of file diff --git a/inherit_graph_45.png b/inherit_graph_45.png index db5665fc..7c97556d 100644 Binary files a/inherit_graph_45.png and b/inherit_graph_45.png differ diff --git a/inherit_graph_46.map b/inherit_graph_46.map index 305194c2..0876bd63 100644 --- a/inherit_graph_46.map +++ b/inherit_graph_46.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_46.md5 b/inherit_graph_46.md5 index a59f337a..7416eae8 100644 --- a/inherit_graph_46.md5 +++ b/inherit_graph_46.md5 @@ -1 +1 @@ -509a0bb168968d61740e20c870b7867c \ No newline at end of file +8a88283fa84fc4871f8fb4bbdb458e29 \ No newline at end of file diff --git a/inherit_graph_46.png b/inherit_graph_46.png index 4dc161c3..48e01e00 100644 Binary files a/inherit_graph_46.png and b/inherit_graph_46.png differ diff --git a/inherit_graph_47.map b/inherit_graph_47.map index c00a8c40..736ea47d 100644 --- a/inherit_graph_47.map +++ b/inherit_graph_47.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_47.md5 b/inherit_graph_47.md5 index 690db479..85d226b8 100644 --- a/inherit_graph_47.md5 +++ b/inherit_graph_47.md5 @@ -1 +1 @@ -e9d1aa8c3e56a3a370141d4fb5f6246b \ No newline at end of file +bd5a09c113742679f338f6d775f9a0f3 \ No newline at end of file diff --git a/inherit_graph_47.png b/inherit_graph_47.png index 0cd9436d..db5665fc 100644 Binary files a/inherit_graph_47.png and b/inherit_graph_47.png differ diff --git a/inherit_graph_48.map b/inherit_graph_48.map index 67139fda..305194c2 100644 --- a/inherit_graph_48.map +++ b/inherit_graph_48.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_48.md5 b/inherit_graph_48.md5 index d25c749a..a59f337a 100644 --- a/inherit_graph_48.md5 +++ b/inherit_graph_48.md5 @@ -1 +1 @@ -ab524a1fffe7e2e6042eff3e6ff11928 \ No newline at end of file +509a0bb168968d61740e20c870b7867c \ No newline at end of file diff --git a/inherit_graph_48.png b/inherit_graph_48.png index 3df3fb16..4dc161c3 100644 Binary files a/inherit_graph_48.png and b/inherit_graph_48.png differ diff --git a/inherit_graph_49.map b/inherit_graph_49.map index 92299859..c00a8c40 100644 --- a/inherit_graph_49.map +++ b/inherit_graph_49.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_49.md5 b/inherit_graph_49.md5 index 14047689..690db479 100644 --- a/inherit_graph_49.md5 +++ b/inherit_graph_49.md5 @@ -1 +1 @@ -d74f1755a9a3ad75d1ddfba7f834de32 \ No newline at end of file +e9d1aa8c3e56a3a370141d4fb5f6246b \ No newline at end of file diff --git a/inherit_graph_49.png b/inherit_graph_49.png index 5e65c684..0cd9436d 100644 Binary files a/inherit_graph_49.png and b/inherit_graph_49.png differ diff --git a/inherit_graph_50.map b/inherit_graph_50.map index aa5d60a6..67139fda 100644 --- a/inherit_graph_50.map +++ b/inherit_graph_50.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_50.md5 b/inherit_graph_50.md5 index a08b17ab..d25c749a 100644 --- a/inherit_graph_50.md5 +++ b/inherit_graph_50.md5 @@ -1 +1 @@ -d4b3b61a3b66eb78072fb361d9a6d1bd \ No newline at end of file +ab524a1fffe7e2e6042eff3e6ff11928 \ No newline at end of file diff --git a/inherit_graph_50.png b/inherit_graph_50.png index 496f09ee..3df3fb16 100644 Binary files a/inherit_graph_50.png and b/inherit_graph_50.png differ diff --git a/inherit_graph_51.map b/inherit_graph_51.map index b71c2677..92299859 100644 --- a/inherit_graph_51.map +++ b/inherit_graph_51.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_51.md5 b/inherit_graph_51.md5 index 696f1d16..14047689 100644 --- a/inherit_graph_51.md5 +++ b/inherit_graph_51.md5 @@ -1 +1 @@ -50c035840fab2930ec90c3aa0bd791bb \ No newline at end of file +d74f1755a9a3ad75d1ddfba7f834de32 \ No newline at end of file diff --git a/inherit_graph_51.png b/inherit_graph_51.png index 6a1d7618..5e65c684 100644 Binary files a/inherit_graph_51.png and b/inherit_graph_51.png differ diff --git a/inherit_graph_52.map b/inherit_graph_52.map index 6b928c48..aa5d60a6 100644 --- a/inherit_graph_52.map +++ b/inherit_graph_52.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_52.md5 b/inherit_graph_52.md5 index 098990e9..a08b17ab 100644 --- a/inherit_graph_52.md5 +++ b/inherit_graph_52.md5 @@ -1 +1 @@ -91fa0cd52f3ecd4020c85dd9a48475cc \ No newline at end of file +d4b3b61a3b66eb78072fb361d9a6d1bd \ No newline at end of file diff --git a/inherit_graph_52.png b/inherit_graph_52.png index 96217a66..496f09ee 100644 Binary files a/inherit_graph_52.png and b/inherit_graph_52.png differ diff --git a/inherit_graph_53.map b/inherit_graph_53.map index 5b60e48f..b71c2677 100644 --- a/inherit_graph_53.map +++ b/inherit_graph_53.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_53.md5 b/inherit_graph_53.md5 index ce7831ef..696f1d16 100644 --- a/inherit_graph_53.md5 +++ b/inherit_graph_53.md5 @@ -1 +1 @@ -ebe2f30fe12aa3b51728895106e55aa5 \ No newline at end of file +50c035840fab2930ec90c3aa0bd791bb \ No newline at end of file diff --git a/inherit_graph_53.png b/inherit_graph_53.png index fdad6844..6a1d7618 100644 Binary files a/inherit_graph_53.png and b/inherit_graph_53.png differ diff --git a/inherit_graph_54.map b/inherit_graph_54.map index 7cde7ce2..6b928c48 100644 --- a/inherit_graph_54.map +++ b/inherit_graph_54.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_54.md5 b/inherit_graph_54.md5 index 977f585f..098990e9 100644 --- a/inherit_graph_54.md5 +++ b/inherit_graph_54.md5 @@ -1 +1 @@ -874bb22c0007270d4a112633aa72f103 \ No newline at end of file +91fa0cd52f3ecd4020c85dd9a48475cc \ No newline at end of file diff --git a/inherit_graph_54.png b/inherit_graph_54.png index d8088d6c..96217a66 100644 Binary files a/inherit_graph_54.png and b/inherit_graph_54.png differ diff --git a/inherit_graph_55.map b/inherit_graph_55.map index 1ef5d063..5b60e48f 100644 --- a/inherit_graph_55.map +++ b/inherit_graph_55.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_55.md5 b/inherit_graph_55.md5 index 644888a3..ce7831ef 100644 --- a/inherit_graph_55.md5 +++ b/inherit_graph_55.md5 @@ -1 +1 @@ -ec1fb797ab87f7d03049bbe97882a150 \ No newline at end of file +ebe2f30fe12aa3b51728895106e55aa5 \ No newline at end of file diff --git a/inherit_graph_55.png b/inherit_graph_55.png index 5d27603a..fdad6844 100644 Binary files a/inherit_graph_55.png and b/inherit_graph_55.png differ diff --git a/inherit_graph_56.map b/inherit_graph_56.map index fd71c6be..7cde7ce2 100644 --- a/inherit_graph_56.map +++ b/inherit_graph_56.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_56.md5 b/inherit_graph_56.md5 index f9c15d7c..977f585f 100644 --- a/inherit_graph_56.md5 +++ b/inherit_graph_56.md5 @@ -1 +1 @@ -30c3a0e30f76a4ccd90c4c43efb17e3a \ No newline at end of file +874bb22c0007270d4a112633aa72f103 \ No newline at end of file diff --git a/inherit_graph_56.png b/inherit_graph_56.png index da3ee584..d8088d6c 100644 Binary files a/inherit_graph_56.png and b/inherit_graph_56.png differ diff --git a/inherit_graph_57.map b/inherit_graph_57.map index 23a81ac2..1ef5d063 100644 --- a/inherit_graph_57.map +++ b/inherit_graph_57.map @@ -1,3 +1,3 @@ - + diff --git a/inherit_graph_57.md5 b/inherit_graph_57.md5 index 46d7567b..644888a3 100644 --- a/inherit_graph_57.md5 +++ b/inherit_graph_57.md5 @@ -1 +1 @@ -509bf0bf013eba84febdb89cf1ca48f8 \ No newline at end of file +ec1fb797ab87f7d03049bbe97882a150 \ No newline at end of file diff --git a/inherit_graph_57.png b/inherit_graph_57.png index e5d895c7..5d27603a 100644 Binary files a/inherit_graph_57.png and b/inherit_graph_57.png differ diff --git a/inherit_graph_58.map b/inherit_graph_58.map index 45ca1a50..fd71c6be 100644 --- a/inherit_graph_58.map +++ b/inherit_graph_58.map @@ -1,5 +1,3 @@ - - - + diff --git a/inherit_graph_58.md5 b/inherit_graph_58.md5 index b6309cb0..f9c15d7c 100644 --- a/inherit_graph_58.md5 +++ b/inherit_graph_58.md5 @@ -1 +1 @@ -0be9cc301cf6a01207e35904f13f8670 \ No newline at end of file +30c3a0e30f76a4ccd90c4c43efb17e3a \ No newline at end of file diff --git a/inherit_graph_58.png b/inherit_graph_58.png index cafb7e5b..da3ee584 100644 Binary files a/inherit_graph_58.png and b/inherit_graph_58.png differ diff --git a/inherit_graph_59.map b/inherit_graph_59.map index 02e12b64..23a81ac2 100644 --- a/inherit_graph_59.map +++ b/inherit_graph_59.map @@ -1,17 +1,3 @@ - - - - - - - - - - - - - - - + diff --git a/inherit_graph_59.md5 b/inherit_graph_59.md5 index 8ce7e7b0..46d7567b 100644 --- a/inherit_graph_59.md5 +++ b/inherit_graph_59.md5 @@ -1 +1 @@ -1f14967b556e553bb44516a579a6d6eb \ No newline at end of file +509bf0bf013eba84febdb89cf1ca48f8 \ No newline at end of file diff --git a/inherit_graph_59.png b/inherit_graph_59.png index 57c85a76..e5d895c7 100644 Binary files a/inherit_graph_59.png and b/inherit_graph_59.png differ diff --git a/inherit_graph_60.map b/inherit_graph_60.map new file mode 100644 index 00000000..b6b431d6 --- /dev/null +++ b/inherit_graph_60.map @@ -0,0 +1,5 @@ + + + + + diff --git a/inherit_graph_60.md5 b/inherit_graph_60.md5 new file mode 100644 index 00000000..dd90f026 --- /dev/null +++ b/inherit_graph_60.md5 @@ -0,0 +1 @@ +db68cd486faf1028b3bef790ceee3804 \ No newline at end of file diff --git a/inherit_graph_60.png b/inherit_graph_60.png new file mode 100644 index 00000000..cafb7e5b Binary files /dev/null and b/inherit_graph_60.png differ diff --git a/inherit_graph_61.map b/inherit_graph_61.map new file mode 100644 index 00000000..29ed28fb --- /dev/null +++ b/inherit_graph_61.map @@ -0,0 +1,17 @@ + + + + + + + + + + + + + + + + + diff --git a/inherit_graph_61.md5 b/inherit_graph_61.md5 new file mode 100644 index 00000000..bcd74441 --- /dev/null +++ b/inherit_graph_61.md5 @@ -0,0 +1 @@ +921dcbe8c8b6cefbf0c9cde485d8f200 \ No newline at end of file diff --git a/inherit_graph_61.png b/inherit_graph_61.png new file mode 100644 index 00000000..57c85a76 Binary files /dev/null and b/inherit_graph_61.png differ diff --git a/inherit_graph_9.map b/inherit_graph_9.map index 967187b2..bc939793 100644 --- a/inherit_graph_9.map +++ b/inherit_graph_9.map @@ -1,5 +1,5 @@ - + diff --git a/inherits.html b/inherits.html index 2fea0cbf..3a4bf5af 100644 --- a/inherits.html +++ b/inherits.html @@ -3,13 +3,15 @@ - + systemc-clang: Class Hierarchy + + @@ -32,24 +34,35 @@ - + + +
+
- + - + - + - + - + - + - + - + @@ -138,7 +151,7 @@ - + @@ -158,7 +171,7 @@ - + @@ -192,7 +205,7 @@ - + @@ -203,73 +216,93 @@ - - - + + + - + - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - + - + - + - + - + - + @@ -277,15 +310,17 @@ - - - - - - - - - + + + + + + + + + + + @@ -297,25 +332,25 @@ - + - + - + - + @@ -333,7 +368,7 @@ - + @@ -345,252 +380,262 @@ - + - + + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -598,7 +643,8 @@
+
diff --git a/interface__generation_8py.html b/interface__generation_8py.html new file mode 100644 index 00000000..cd366510 --- /dev/null +++ b/interface__generation_8py.html @@ -0,0 +1,128 @@ + + + + + + + +systemc-clang: plugins/hdl/parselib/transforms/interface_generation.py File Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
interface_generation.py File Reference
+
+ + + +
+ + diff --git a/interface__generation_8py_source.html b/interface__generation_8py_source.html new file mode 100644 index 00000000..cbce3add --- /dev/null +++ b/interface__generation_8py_source.html @@ -0,0 +1,416 @@ + + + + + + + +systemc-clang: plugins/hdl/parselib/transforms/interface_generation.py Source File + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
interface_generation.py
+
+
+Go to the documentation of this file.
1from lark import Tree, Token
+
2from parselib.utils import dprint
+
3from parselib.transforms import TopDown
+
4from ..utils import ContextManager, is_tree_type
+
5from dataclasses import dataclass
+
6import typing
+
7
+
8@dataclass(frozen=True)
+
+
9class PortDecl:
+
10 name: str
+
11 type: ...
+
12 direction: str
+
13
+
14@dataclass(frozen=True)
+
+
+ +
16 module_name: str
+
17 interface_name: str # we might need this for user to provide extra information
+
18 interfaces: typing.List[PortDecl]
+
19
+
20 @staticmethod
+
+
21 def generate_instance_name(instance_name, is_array) -> str:
+
22 if is_array:
+
23 return 'itf'
+
24 else:
+
25 return f'{instance_name}_itf'
+
26
+
+
+ +
28 return f'itf'
+
29
+
+
+
+ +
31 """
+
32 This module generate interface definitions, and put them in the start of the nodes
+
33 """
+
+
34 def __init__(self):
+ + +
37 super().__init__()
+
38
+
+
+
39 def modulelist(self, tree):
+
40 with self.ctx.add_values(interfaces=[]):
+
41 self.__push_up(tree)
+
42 interfaces_tree_node = Tree(
+
43 'interfaces', []
+
44 )
+
45 for interface in self.ctx.interfaces:
+
46 interface_tree_node = Tree(
+
47 'interface', [
+
48 interface.interface_name,
+
49 ] + interface.interfaces
+
50 )
+
51 if interface.interfaces:
+
52 interfaces_tree_node.children.append(interface_tree_node)
+
53 if interfaces_tree_node.children:
+
54 tree.children.insert(0, interfaces_tree_node)
+
55
+
56 for interface in self.ctx.interfaces:
+
57 if interface.interfaces:
+
58 self.interface_meta_data[interface.module_name] = interface
+
59 return tree
+
60
+
+
+
61 def hmodule(self, tree):
+
62 with self.ctx.add_values(current_ports=[]):
+
63 self.__push_up(tree)
+
64 self.ctx.interfaces.append(
+ +
66 module_name=tree.children[0].value,
+
67 interface_name=tree.children[0].value + '_interface',
+
68 interfaces=self.ctx.current_ports
+
69 )
+
70 )
+
71 return tree
+
72
+
+
+
73 def htypeinfo(self, tree):
+
74 self.ctx.htype = tree.children[0]
+
75 return tree
+
76
+
+
+
77 def inportdecl(self, tree):
+
78 self.ctx.name = tree.children[0].value
+
79 self.ctx.direction = 'input'
+
80 return tree
+
81
+
+
+
82 def outportdecl(self, tree):
+
83 self.ctx.name = tree.children[0].value
+
84 self.ctx.direction = 'output'
+
85 return tree
+
86
+
+
+
87 def portdecltype(self, tree):
+
88 with self.ctx.add_values(htype=None, name=None, direction=None):
+
89 self.__push_up(tree)
+
90 self.ctx.current_ports.append(
+ +
92 name=self.ctx.name,
+
93 type=self.ctx.htype,
+
94 direction=self.ctx.direction,
+
95 )
+
96 )
+
97 return tree
+
98
+
99
+
+
+ +
101 assert tree.data == 'portdecltype', "Expecting portdecltype"
+
102 new_tree = Tree('sigdecltype', tree.children, meta=tree.meta)
+
103 new_tree.children[0] = Tree('sigdecl', [tree.children[0].children[0]])
+
104 new_tree.meta.direction = tree.direction
+
105 return new_tree
+
106
+
+
+
107 def _modportsiglist(self, tree):
+
108 with self.ctx.add_values(port_decl_to_remove=[]):
+
109 self.__push_up(tree)
+
110 if self.ctx.port_decl_to_remove:
+
111 tree.children = list(filter(lambda x: x not in self.ctx.port_decl_to_remove, tree.children))
+
112 # move it to varlist
+
113 insertion_pos = -1
+
114 # find the last sigdecltype, or last portdecltype if no sigdecltype exists
+
115 for i in range(len(tree.children) - 1, -1, -1):
+
116 if tree.children[i].data == 'sigdecltype':
+
117 insertion_pos = i
+
118 break
+
119 elif tree.children[i].data == 'portdecltype':
+
120 insertion_pos = i
+
121 break
+
122 new_sig_decls = list(map(self.portdecltype_to_sigdecltype, self.ctx.port_decl_to_remove))
+
123 tree.children = tree.children[:insertion_pos + 1] + new_sig_decls + tree.children[insertion_pos + 1:]
+
124
+
125 # for port in self.ctx.port_decl_to_remove:
+
126 # tree.children.append(
+
127 # self.portdecltype_to_sigdecltype(port)
+
128 # )
+
129
+
130 return tree
+
131
+
+
+
132 def _portdecltype(self, tree):
+
133 with self.ctx.add_values(current_port_decl_node=tree):
+
134 self.__push_up(tree)
+
135 return tree
+
136
+
+
+
137 def _htype(self, tree):
+
138 # If this is a port array
+
139 if self.ctx.current_port_decl_node and tree.children[0] == 'array':
+
140 if self.ctx.current_port_decl_node.children[0].data == 'inportdecl':
+
141 with self.ctx.add_values(port_erasure=True):
+
142 self.__push_up(tree)
+
143 self.ctx.current_port_decl_node.direction = 'input'
+
144 self.ctx.port_decl_to_remove.append(self.ctx.current_port_decl_node)
+
145 elif self.ctx.current_port_decl_node.children[0].data == 'outportdecl':
+
146 self.ctx.current_port_decl_node.direction = 'output'
+
147 else:
+
148 raise ValueError("Unknown port type")
+
149 elif self.ctx.port_erasure:
+
150 if tree.children[0] in ['sc_in', 'sc_out']:
+
151 return tree.children[1]
+
152 return tree
+
153 return tree
+
154
+
155
+
+
+
+ +
157 """Now we replace any interface port reference with the actual interface"""
+
158
+
+
159 def __init__(self, port_meta):
+
160 self.port_meta = port_meta
+ +
162 super().__init__()
+
163
+
+
+
164 def hmodule(self, tree):
+
165 with self.ctx.add_values(current_module=tree.children[0].value):
+
166 self.__push_up(tree)
+
167 return tree
+
168
+
+
169 # def hportbinding(self, tree):
+
170 # # we need to handle LHS case and RHS case
+
171 # # LHS should be the signal of the module,
+
172 # # RHS could be from
+
173 # # 1. the parent module
+
174 # # 2. internal signals
+
175 # pass
+
+
176 def genbindinglist(self, tree):
+
177 with self.ctx.add_values(is_in_genbindinglist=True):
+
178 self.__push_up(tree)
+
179 return tree
+
180
+
+
+
181 def portbindinglist(self, tree):
+
182 # this node is not automatically enumerated
+
183 port_bindings: list = tree.children[1]
+
184 for binding in port_bindings:
+
185 assert len(binding.children) == 3, "Internal error, length of port binding should be 3"
+
186 # only goes into right hand side
+
187 assert is_tree_type(binding.children[2], 'hvarref'), "Internal error, only hvarref is supported"
+
188 binding.children[2] = self.hvarref(binding.children[2])
+
189 return tree
+
190
+
+
191 # def portbinding(self, tree):
+
192 # if self.ctx.is_in_genbindinglist:
+
193 # if hasattr(tree, 'swap_for_for_loop'):
+
194 # if is_tree_type(tree.children[1], 'hvarref'):
+
195 # tree.children[1] = self.hvarref(tree.children[1])
+
196 # else:
+
197 # self.__push_up(tree.children[1])
+
198 # else:
+
199 # if is_tree_type(tree.children[1], 'hvarref'):
+
200 # tree.children[1] = self.hvarref(tree.children[1])
+
201 # else:
+
202 # self.__push_up(tree.children[1])
+
203
+
204 # tree.children[2] = self.hvarref(tree.children[2])
+
205 # return tree
+
206 # else:
+
207 # self.__push_up(tree)
+
208 # return tree
+
209
+
+
210 def hvarref(self, tree):
+
211 self.__push_up(tree)
+
212 assert len(tree.children) == 1, "Internal error, hvarref should only have one child"
+
213 cur_mod = self.ctx.current_module
+
214 if cur_mod not in self.port_meta:
+
215 return tree
+
216
+
217 # TODO: this checks whether a varref is a port of current module
+
218 # we could refactor this to be a function call such as _is_port_of_current_module()
+
219 interface = self.port_meta[cur_mod]
+
220 port_decls = interface.interfaces
+
221 for port_decl in port_decls:
+
222 # PortDecl
+
223 if port_decl.name == tree.children[0]:
+
224 # if this vardef is a local port
+
225 new_token = Token('INTF_ID', value=f'{interface.generate_interface_decl_name()}.{port_decl.name}', )
+
226 tree.children[0] = new_token
+
227 break
+
228 return tree
+
229
+
+
+
230 def hprocess(self, tree):
+
231 self.__push_up(tree)
+
232
+
233 if hasattr(tree, 'force_sensevar'):
+
234 self.__push_up(tree.force_sensevar)
+
235
+
236 return tree
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
__push_up(self, current_node)
Definition top_down.py:29
+ + + +
+ + +
+ + diff --git a/jquery.js b/jquery.js index 1dffb65b..875ada73 100644 --- a/jquery.js +++ b/jquery.js @@ -1,17 +1,143 @@ /*! jQuery v3.6.0 | (c) OpenJS Foundation and other contributors | jquery.org/license */ -!function(e,t){"use strict";"object"==typeof module&&"object"==typeof module.exports?module.exports=e.document?t(e,!0):function(e){if(!e.document)throw new Error("jQuery requires a window with a document");return t(e)}:t(e)}("undefined"!=typeof window?window:this,function(C,e){"use strict";var t=[],r=Object.getPrototypeOf,s=t.slice,g=t.flat?function(e){return t.flat.call(e)}:function(e){return t.concat.apply([],e)},u=t.push,i=t.indexOf,n={},o=n.toString,v=n.hasOwnProperty,a=v.toString,l=a.call(Object),y={},m=function(e){return"function"==typeof e&&"number"!=typeof e.nodeType&&"function"!=typeof e.item},x=function(e){return null!=e&&e===e.window},E=C.document,c={type:!0,src:!0,nonce:!0,noModule:!0};function b(e,t,n){var r,i,o=(n=n||E).createElement("script");if(o.text=e,t)for(r in c)(i=t[r]||t.getAttribute&&t.getAttribute(r))&&o.setAttribute(r,i);n.head.appendChild(o).parentNode.removeChild(o)}function w(e){return null==e?e+"":"object"==typeof e||"function"==typeof e?n[o.call(e)]||"object":typeof e}var f="3.6.0",S=function(e,t){return new S.fn.init(e,t)};function p(e){var t=!!e&&"length"in e&&e.length,n=w(e);return!m(e)&&!x(e)&&("array"===n||0===t||"number"==typeof t&&0+~]|"+M+")"+M+"*"),U=new RegExp(M+"|>"),X=new RegExp(F),V=new RegExp("^"+I+"$"),G={ID:new RegExp("^#("+I+")"),CLASS:new RegExp("^\\.("+I+")"),TAG:new RegExp("^("+I+"|[*])"),ATTR:new RegExp("^"+W),PSEUDO:new RegExp("^"+F),CHILD:new RegExp("^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\("+M+"*(even|odd|(([+-]|)(\\d*)n|)"+M+"*(?:([+-]|)"+M+"*(\\d+)|))"+M+"*\\)|)","i"),bool:new RegExp("^(?:"+R+")$","i"),needsContext:new RegExp("^"+M+"*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\("+M+"*((?:-\\d)?\\d*)"+M+"*\\)|)(?=[^-]|$)","i")},Y=/HTML$/i,Q=/^(?:input|select|textarea|button)$/i,J=/^h\d$/i,K=/^[^{]+\{\s*\[native \w/,Z=/^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/,ee=/[+~]/,te=new RegExp("\\\\[\\da-fA-F]{1,6}"+M+"?|\\\\([^\\r\\n\\f])","g"),ne=function(e,t){var n="0x"+e.slice(1)-65536;return t||(n<0?String.fromCharCode(n+65536):String.fromCharCode(n>>10|55296,1023&n|56320))},re=/([\0-\x1f\x7f]|^-?\d)|^-$|[^\0-\x1f\x7f-\uFFFF\w-]/g,ie=function(e,t){return t?"\0"===e?"\ufffd":e.slice(0,-1)+"\\"+e.charCodeAt(e.length-1).toString(16)+" ":"\\"+e},oe=function(){T()},ae=be(function(e){return!0===e.disabled&&"fieldset"===e.nodeName.toLowerCase()},{dir:"parentNode",next:"legend"});try{H.apply(t=O.call(p.childNodes),p.childNodes),t[p.childNodes.length].nodeType}catch(e){H={apply:t.length?function(e,t){L.apply(e,O.call(t))}:function(e,t){var n=e.length,r=0;while(e[n++]=t[r++]);e.length=n-1}}}function se(t,e,n,r){var i,o,a,s,u,l,c,f=e&&e.ownerDocument,p=e?e.nodeType:9;if(n=n||[],"string"!=typeof t||!t||1!==p&&9!==p&&11!==p)return n;if(!r&&(T(e),e=e||C,E)){if(11!==p&&(u=Z.exec(t)))if(i=u[1]){if(9===p){if(!(a=e.getElementById(i)))return n;if(a.id===i)return n.push(a),n}else if(f&&(a=f.getElementById(i))&&y(e,a)&&a.id===i)return n.push(a),n}else{if(u[2])return H.apply(n,e.getElementsByTagName(t)),n;if((i=u[3])&&d.getElementsByClassName&&e.getElementsByClassName)return H.apply(n,e.getElementsByClassName(i)),n}if(d.qsa&&!N[t+" "]&&(!v||!v.test(t))&&(1!==p||"object"!==e.nodeName.toLowerCase())){if(c=t,f=e,1===p&&(U.test(t)||z.test(t))){(f=ee.test(t)&&ye(e.parentNode)||e)===e&&d.scope||((s=e.getAttribute("id"))?s=s.replace(re,ie):e.setAttribute("id",s=S)),o=(l=h(t)).length;while(o--)l[o]=(s?"#"+s:":scope")+" "+xe(l[o]);c=l.join(",")}try{return H.apply(n,f.querySelectorAll(c)),n}catch(e){N(t,!0)}finally{s===S&&e.removeAttribute("id")}}}return g(t.replace($,"$1"),e,n,r)}function ue(){var r=[];return function e(t,n){return r.push(t+" ")>b.cacheLength&&delete e[r.shift()],e[t+" "]=n}}function le(e){return e[S]=!0,e}function ce(e){var t=C.createElement("fieldset");try{return!!e(t)}catch(e){return!1}finally{t.parentNode&&t.parentNode.removeChild(t),t=null}}function fe(e,t){var n=e.split("|"),r=n.length;while(r--)b.attrHandle[n[r]]=t}function pe(e,t){var n=t&&e,r=n&&1===e.nodeType&&1===t.nodeType&&e.sourceIndex-t.sourceIndex;if(r)return r;if(n)while(n=n.nextSibling)if(n===t)return-1;return e?1:-1}function de(t){return function(e){return"input"===e.nodeName.toLowerCase()&&e.type===t}}function he(n){return function(e){var t=e.nodeName.toLowerCase();return("input"===t||"button"===t)&&e.type===n}}function ge(t){return function(e){return"form"in e?e.parentNode&&!1===e.disabled?"label"in e?"label"in e.parentNode?e.parentNode.disabled===t:e.disabled===t:e.isDisabled===t||e.isDisabled!==!t&&ae(e)===t:e.disabled===t:"label"in e&&e.disabled===t}}function ve(a){return le(function(o){return o=+o,le(function(e,t){var n,r=a([],e.length,o),i=r.length;while(i--)e[n=r[i]]&&(e[n]=!(t[n]=e[n]))})})}function ye(e){return e&&"undefined"!=typeof e.getElementsByTagName&&e}for(e in d=se.support={},i=se.isXML=function(e){var t=e&&e.namespaceURI,n=e&&(e.ownerDocument||e).documentElement;return!Y.test(t||n&&n.nodeName||"HTML")},T=se.setDocument=function(e){var t,n,r=e?e.ownerDocument||e:p;return r!=C&&9===r.nodeType&&r.documentElement&&(a=(C=r).documentElement,E=!i(C),p!=C&&(n=C.defaultView)&&n.top!==n&&(n.addEventListener?n.addEventListener("unload",oe,!1):n.attachEvent&&n.attachEvent("onunload",oe)),d.scope=ce(function(e){return a.appendChild(e).appendChild(C.createElement("div")),"undefined"!=typeof e.querySelectorAll&&!e.querySelectorAll(":scope fieldset div").length}),d.attributes=ce(function(e){return e.className="i",!e.getAttribute("className")}),d.getElementsByTagName=ce(function(e){return e.appendChild(C.createComment("")),!e.getElementsByTagName("*").length}),d.getElementsByClassName=K.test(C.getElementsByClassName),d.getById=ce(function(e){return a.appendChild(e).id=S,!C.getElementsByName||!C.getElementsByName(S).length}),d.getById?(b.filter.ID=function(e){var t=e.replace(te,ne);return function(e){return e.getAttribute("id")===t}},b.find.ID=function(e,t){if("undefined"!=typeof t.getElementById&&E){var n=t.getElementById(e);return n?[n]:[]}}):(b.filter.ID=function(e){var n=e.replace(te,ne);return function(e){var t="undefined"!=typeof e.getAttributeNode&&e.getAttributeNode("id");return t&&t.value===n}},b.find.ID=function(e,t){if("undefined"!=typeof t.getElementById&&E){var n,r,i,o=t.getElementById(e);if(o){if((n=o.getAttributeNode("id"))&&n.value===e)return[o];i=t.getElementsByName(e),r=0;while(o=i[r++])if((n=o.getAttributeNode("id"))&&n.value===e)return[o]}return[]}}),b.find.TAG=d.getElementsByTagName?function(e,t){return"undefined"!=typeof t.getElementsByTagName?t.getElementsByTagName(e):d.qsa?t.querySelectorAll(e):void 0}:function(e,t){var n,r=[],i=0,o=t.getElementsByTagName(e);if("*"===e){while(n=o[i++])1===n.nodeType&&r.push(n);return r}return o},b.find.CLASS=d.getElementsByClassName&&function(e,t){if("undefined"!=typeof t.getElementsByClassName&&E)return t.getElementsByClassName(e)},s=[],v=[],(d.qsa=K.test(C.querySelectorAll))&&(ce(function(e){var t;a.appendChild(e).innerHTML="",e.querySelectorAll("[msallowcapture^='']").length&&v.push("[*^$]="+M+"*(?:''|\"\")"),e.querySelectorAll("[selected]").length||v.push("\\["+M+"*(?:value|"+R+")"),e.querySelectorAll("[id~="+S+"-]").length||v.push("~="),(t=C.createElement("input")).setAttribute("name",""),e.appendChild(t),e.querySelectorAll("[name='']").length||v.push("\\["+M+"*name"+M+"*="+M+"*(?:''|\"\")"),e.querySelectorAll(":checked").length||v.push(":checked"),e.querySelectorAll("a#"+S+"+*").length||v.push(".#.+[+~]"),e.querySelectorAll("\\\f"),v.push("[\\r\\n\\f]")}),ce(function(e){e.innerHTML="";var t=C.createElement("input");t.setAttribute("type","hidden"),e.appendChild(t).setAttribute("name","D"),e.querySelectorAll("[name=d]").length&&v.push("name"+M+"*[*^$|!~]?="),2!==e.querySelectorAll(":enabled").length&&v.push(":enabled",":disabled"),a.appendChild(e).disabled=!0,2!==e.querySelectorAll(":disabled").length&&v.push(":enabled",":disabled"),e.querySelectorAll("*,:x"),v.push(",.*:")})),(d.matchesSelector=K.test(c=a.matches||a.webkitMatchesSelector||a.mozMatchesSelector||a.oMatchesSelector||a.msMatchesSelector))&&ce(function(e){d.disconnectedMatch=c.call(e,"*"),c.call(e,"[s!='']:x"),s.push("!=",F)}),v=v.length&&new RegExp(v.join("|")),s=s.length&&new RegExp(s.join("|")),t=K.test(a.compareDocumentPosition),y=t||K.test(a.contains)?function(e,t){var n=9===e.nodeType?e.documentElement:e,r=t&&t.parentNode;return e===r||!(!r||1!==r.nodeType||!(n.contains?n.contains(r):e.compareDocumentPosition&&16&e.compareDocumentPosition(r)))}:function(e,t){if(t)while(t=t.parentNode)if(t===e)return!0;return!1},j=t?function(e,t){if(e===t)return l=!0,0;var n=!e.compareDocumentPosition-!t.compareDocumentPosition;return n||(1&(n=(e.ownerDocument||e)==(t.ownerDocument||t)?e.compareDocumentPosition(t):1)||!d.sortDetached&&t.compareDocumentPosition(e)===n?e==C||e.ownerDocument==p&&y(p,e)?-1:t==C||t.ownerDocument==p&&y(p,t)?1:u?P(u,e)-P(u,t):0:4&n?-1:1)}:function(e,t){if(e===t)return l=!0,0;var n,r=0,i=e.parentNode,o=t.parentNode,a=[e],s=[t];if(!i||!o)return e==C?-1:t==C?1:i?-1:o?1:u?P(u,e)-P(u,t):0;if(i===o)return pe(e,t);n=e;while(n=n.parentNode)a.unshift(n);n=t;while(n=n.parentNode)s.unshift(n);while(a[r]===s[r])r++;return r?pe(a[r],s[r]):a[r]==p?-1:s[r]==p?1:0}),C},se.matches=function(e,t){return se(e,null,null,t)},se.matchesSelector=function(e,t){if(T(e),d.matchesSelector&&E&&!N[t+" "]&&(!s||!s.test(t))&&(!v||!v.test(t)))try{var n=c.call(e,t);if(n||d.disconnectedMatch||e.document&&11!==e.document.nodeType)return n}catch(e){N(t,!0)}return 0":{dir:"parentNode",first:!0}," ":{dir:"parentNode"},"+":{dir:"previousSibling",first:!0},"~":{dir:"previousSibling"}},preFilter:{ATTR:function(e){return e[1]=e[1].replace(te,ne),e[3]=(e[3]||e[4]||e[5]||"").replace(te,ne),"~="===e[2]&&(e[3]=" "+e[3]+" "),e.slice(0,4)},CHILD:function(e){return e[1]=e[1].toLowerCase(),"nth"===e[1].slice(0,3)?(e[3]||se.error(e[0]),e[4]=+(e[4]?e[5]+(e[6]||1):2*("even"===e[3]||"odd"===e[3])),e[5]=+(e[7]+e[8]||"odd"===e[3])):e[3]&&se.error(e[0]),e},PSEUDO:function(e){var t,n=!e[6]&&e[2];return G.CHILD.test(e[0])?null:(e[3]?e[2]=e[4]||e[5]||"":n&&X.test(n)&&(t=h(n,!0))&&(t=n.indexOf(")",n.length-t)-n.length)&&(e[0]=e[0].slice(0,t),e[2]=n.slice(0,t)),e.slice(0,3))}},filter:{TAG:function(e){var t=e.replace(te,ne).toLowerCase();return"*"===e?function(){return!0}:function(e){return e.nodeName&&e.nodeName.toLowerCase()===t}},CLASS:function(e){var t=m[e+" "];return t||(t=new RegExp("(^|"+M+")"+e+"("+M+"|$)"))&&m(e,function(e){return t.test("string"==typeof e.className&&e.className||"undefined"!=typeof e.getAttribute&&e.getAttribute("class")||"")})},ATTR:function(n,r,i){return function(e){var t=se.attr(e,n);return null==t?"!="===r:!r||(t+="","="===r?t===i:"!="===r?t!==i:"^="===r?i&&0===t.indexOf(i):"*="===r?i&&-1:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i;function j(e,n,r){return m(n)?S.grep(e,function(e,t){return!!n.call(e,t,e)!==r}):n.nodeType?S.grep(e,function(e){return e===n!==r}):"string"!=typeof n?S.grep(e,function(e){return-1)[^>]*|#([\w-]+))$/;(S.fn.init=function(e,t,n){var r,i;if(!e)return this;if(n=n||D,"string"==typeof e){if(!(r="<"===e[0]&&">"===e[e.length-1]&&3<=e.length?[null,e,null]:q.exec(e))||!r[1]&&t)return!t||t.jquery?(t||n).find(e):this.constructor(t).find(e);if(r[1]){if(t=t instanceof S?t[0]:t,S.merge(this,S.parseHTML(r[1],t&&t.nodeType?t.ownerDocument||t:E,!0)),N.test(r[1])&&S.isPlainObject(t))for(r in t)m(this[r])?this[r](t[r]):this.attr(r,t[r]);return this}return(i=E.getElementById(r[2]))&&(this[0]=i,this.length=1),this}return e.nodeType?(this[0]=e,this.length=1,this):m(e)?void 0!==n.ready?n.ready(e):e(S):S.makeArray(e,this)}).prototype=S.fn,D=S(E);var L=/^(?:parents|prev(?:Until|All))/,H={children:!0,contents:!0,next:!0,prev:!0};function O(e,t){while((e=e[t])&&1!==e.nodeType);return e}S.fn.extend({has:function(e){var t=S(e,this),n=t.length;return this.filter(function(){for(var e=0;e\x20\t\r\n\f]*)/i,he=/^$|^module$|\/(?:java|ecma)script/i;ce=E.createDocumentFragment().appendChild(E.createElement("div")),(fe=E.createElement("input")).setAttribute("type","radio"),fe.setAttribute("checked","checked"),fe.setAttribute("name","t"),ce.appendChild(fe),y.checkClone=ce.cloneNode(!0).cloneNode(!0).lastChild.checked,ce.innerHTML="",y.noCloneChecked=!!ce.cloneNode(!0).lastChild.defaultValue,ce.innerHTML="",y.option=!!ce.lastChild;var ge={thead:[1,"","
"],col:[2,"","
"],tr:[2,"","
"],td:[3,"","
"],_default:[0,"",""]};function ve(e,t){var n;return n="undefined"!=typeof e.getElementsByTagName?e.getElementsByTagName(t||"*"):"undefined"!=typeof e.querySelectorAll?e.querySelectorAll(t||"*"):[],void 0===t||t&&A(e,t)?S.merge([e],n):n}function ye(e,t){for(var n=0,r=e.length;n",""]);var me=/<|&#?\w+;/;function xe(e,t,n,r,i){for(var o,a,s,u,l,c,f=t.createDocumentFragment(),p=[],d=0,h=e.length;d\s*$/g;function je(e,t){return A(e,"table")&&A(11!==t.nodeType?t:t.firstChild,"tr")&&S(e).children("tbody")[0]||e}function De(e){return e.type=(null!==e.getAttribute("type"))+"/"+e.type,e}function qe(e){return"true/"===(e.type||"").slice(0,5)?e.type=e.type.slice(5):e.removeAttribute("type"),e}function Le(e,t){var n,r,i,o,a,s;if(1===t.nodeType){if(Y.hasData(e)&&(s=Y.get(e).events))for(i in Y.remove(t,"handle events"),s)for(n=0,r=s[i].length;n").attr(n.scriptAttrs||{}).prop({charset:n.scriptCharset,src:n.url}).on("load error",i=function(e){r.remove(),i=null,e&&t("error"===e.type?404:200,e.type)}),E.head.appendChild(r[0])},abort:function(){i&&i()}}});var _t,zt=[],Ut=/(=)\?(?=&|$)|\?\?/;S.ajaxSetup({jsonp:"callback",jsonpCallback:function(){var e=zt.pop()||S.expando+"_"+wt.guid++;return this[e]=!0,e}}),S.ajaxPrefilter("json jsonp",function(e,t,n){var r,i,o,a=!1!==e.jsonp&&(Ut.test(e.url)?"url":"string"==typeof e.data&&0===(e.contentType||"").indexOf("application/x-www-form-urlencoded")&&Ut.test(e.data)&&"data");if(a||"jsonp"===e.dataTypes[0])return r=e.jsonpCallback=m(e.jsonpCallback)?e.jsonpCallback():e.jsonpCallback,a?e[a]=e[a].replace(Ut,"$1"+r):!1!==e.jsonp&&(e.url+=(Tt.test(e.url)?"&":"?")+e.jsonp+"="+r),e.converters["script json"]=function(){return o||S.error(r+" was not called"),o[0]},e.dataTypes[0]="json",i=C[r],C[r]=function(){o=arguments},n.always(function(){void 0===i?S(C).removeProp(r):C[r]=i,e[r]&&(e.jsonpCallback=t.jsonpCallback,zt.push(r)),o&&m(i)&&i(o[0]),o=i=void 0}),"script"}),y.createHTMLDocument=((_t=E.implementation.createHTMLDocument("").body).innerHTML="
",2===_t.childNodes.length),S.parseHTML=function(e,t,n){return"string"!=typeof e?[]:("boolean"==typeof t&&(n=t,t=!1),t||(y.createHTMLDocument?((r=(t=E.implementation.createHTMLDocument("")).createElement("base")).href=E.location.href,t.head.appendChild(r)):t=E),o=!n&&[],(i=N.exec(e))?[t.createElement(i[1])]:(i=xe([e],t,o),o&&o.length&&S(o).remove(),S.merge([],i.childNodes)));var r,i,o},S.fn.load=function(e,t,n){var r,i,o,a=this,s=e.indexOf(" ");return-1").append(S.parseHTML(e)).find(r):e)}).always(n&&function(e,t){a.each(function(){n.apply(this,o||[e.responseText,t,e])})}),this},S.expr.pseudos.animated=function(t){return S.grep(S.timers,function(e){return t===e.elem}).length},S.offset={setOffset:function(e,t,n){var r,i,o,a,s,u,l=S.css(e,"position"),c=S(e),f={};"static"===l&&(e.style.position="relative"),s=c.offset(),o=S.css(e,"top"),u=S.css(e,"left"),("absolute"===l||"fixed"===l)&&-1<(o+u).indexOf("auto")?(a=(r=c.position()).top,i=r.left):(a=parseFloat(o)||0,i=parseFloat(u)||0),m(t)&&(t=t.call(e,n,S.extend({},s))),null!=t.top&&(f.top=t.top-s.top+a),null!=t.left&&(f.left=t.left-s.left+i),"using"in t?t.using.call(e,f):c.css(f)}},S.fn.extend({offset:function(t){if(arguments.length)return void 0===t?this:this.each(function(e){S.offset.setOffset(this,t,e)});var e,n,r=this[0];return r?r.getClientRects().length?(e=r.getBoundingClientRect(),n=r.ownerDocument.defaultView,{top:e.top+n.pageYOffset,left:e.left+n.pageXOffset}):{top:0,left:0}:void 0},position:function(){if(this[0]){var e,t,n,r=this[0],i={top:0,left:0};if("fixed"===S.css(r,"position"))t=r.getBoundingClientRect();else{t=this.offset(),n=r.ownerDocument,e=r.offsetParent||n.documentElement;while(e&&(e===n.body||e===n.documentElement)&&"static"===S.css(e,"position"))e=e.parentNode;e&&e!==r&&1===e.nodeType&&((i=S(e).offset()).top+=S.css(e,"borderTopWidth",!0),i.left+=S.css(e,"borderLeftWidth",!0))}return{top:t.top-i.top-S.css(r,"marginTop",!0),left:t.left-i.left-S.css(r,"marginLeft",!0)}}},offsetParent:function(){return this.map(function(){var e=this.offsetParent;while(e&&"static"===S.css(e,"position"))e=e.offsetParent;return e||re})}}),S.each({scrollLeft:"pageXOffset",scrollTop:"pageYOffset"},function(t,i){var o="pageYOffset"===i;S.fn[t]=function(e){return $(this,function(e,t,n){var r;if(x(e)?r=e:9===e.nodeType&&(r=e.defaultView),void 0===n)return r?r[i]:e[t];r?r.scrollTo(o?r.pageXOffset:n,o?n:r.pageYOffset):e[t]=n},t,e,arguments.length)}}),S.each(["top","left"],function(e,n){S.cssHooks[n]=Fe(y.pixelPosition,function(e,t){if(t)return t=We(e,n),Pe.test(t)?S(e).position()[n]+"px":t})}),S.each({Height:"height",Width:"width"},function(a,s){S.each({padding:"inner"+a,content:s,"":"outer"+a},function(r,o){S.fn[o]=function(e,t){var n=arguments.length&&(r||"boolean"!=typeof e),i=r||(!0===e||!0===t?"margin":"border");return $(this,function(e,t,n){var r;return x(e)?0===o.indexOf("outer")?e["inner"+a]:e.document.documentElement["client"+a]:9===e.nodeType?(r=e.documentElement,Math.max(e.body["scroll"+a],r["scroll"+a],e.body["offset"+a],r["offset"+a],r["client"+a])):void 0===n?S.css(e,t,i):S.style(e,t,n,i)},s,n?e:void 0,n)}})}),S.each(["ajaxStart","ajaxStop","ajaxComplete","ajaxError","ajaxSuccess","ajaxSend"],function(e,t){S.fn[t]=function(e){return this.on(t,e)}}),S.fn.extend({bind:function(e,t,n){return this.on(e,null,t,n)},unbind:function(e,t){return this.off(e,null,t)},delegate:function(e,t,n,r){return this.on(t,e,n,r)},undelegate:function(e,t,n){return 1===arguments.length?this.off(e,"**"):this.off(t,e||"**",n)},hover:function(e,t){return this.mouseenter(e).mouseleave(t||e)}}),S.each("blur focus focusin focusout resize scroll click dblclick mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave change select submit keydown keypress keyup contextmenu".split(" "),function(e,n){S.fn[n]=function(e,t){return 0+~]|"+M+")"+M+"*"),U=new RegExp(M+"|>"),X=new RegExp(F),V=new RegExp("^"+I+"$"),G={ID:new RegExp("^#("+I+")"),CLASS:new RegExp("^\\.("+I+")"),TAG:new RegExp("^("+I+"|[*])"),ATTR:new RegExp("^"+W),PSEUDO:new RegExp("^"+F),CHILD:new RegExp( +"^:(only|first|last|nth|nth-last)-(child|of-type)(?:\\("+M+"*(even|odd|(([+-]|)(\\d*)n|)"+M+"*(?:([+-]|)"+M+"*(\\d+)|))"+M+"*\\)|)","i"),bool:new RegExp("^(?:"+R+")$","i"),needsContext:new RegExp("^"+M+"*[>+~]|:(even|odd|eq|gt|lt|nth|first|last)(?:\\("+M+"*((?:-\\d)?\\d*)"+M+"*\\)|)(?=[^-]|$)","i")},Y=/HTML$/i,Q=/^(?:input|select|textarea|button)$/i,J=/^h\d$/i,K=/^[^{]+\{\s*\[native \w/,Z=/^(?:#([\w-]+)|(\w+)|\.([\w-]+))$/,ee=/[+~]/,te=new RegExp("\\\\[\\da-fA-F]{1,6}"+M+"?|\\\\([^\\r\\n\\f])","g"),ne=function(e,t){var n="0x"+e.slice(1)-65536;return t||(n<0?String.fromCharCode(n+65536):String.fromCharCode(n>>10|55296,1023&n|56320))},re=/([\0-\x1f\x7f]|^-?\d)|^-$|[^\0-\x1f\x7f-\uFFFF\w-]/g,ie=function(e,t){return t?"\0"===e?"�":e.slice(0,-1)+"\\"+e.charCodeAt(e.length-1).toString(16)+" ":"\\"+e},oe=function(){T()},ae=be(function(e){return!0===e.disabled&&"fieldset"===e.nodeName.toLowerCase()},{dir:"parentNode",next:"legend"});try{H.apply(t=O.call(p.childNodes),p.childNodes),t[p.childNodes.length].nodeType +}catch(e){H={apply:t.length?function(e,t){L.apply(e,O.call(t))}:function(e,t){var n=e.length,r=0;while(e[n++]=t[r++]);e.length=n-1}}}function se(t,e,n,r){var i,o,a,s,u,l,c,f=e&&e.ownerDocument,p=e?e.nodeType:9;if(n=n||[],"string"!=typeof t||!t||1!==p&&9!==p&&11!==p)return n;if(!r&&(T(e),e=e||C,E)){if(11!==p&&(u=Z.exec(t)))if(i=u[1]){if(9===p){if(!(a=e.getElementById(i)))return n;if(a.id===i)return n.push(a),n}else if(f&&(a=f.getElementById(i))&&y(e,a)&&a.id===i)return n.push(a),n}else{if(u[2])return H.apply(n,e.getElementsByTagName(t)),n;if((i=u[3])&&d.getElementsByClassName&&e.getElementsByClassName)return H.apply(n,e.getElementsByClassName(i)),n}if(d.qsa&&!N[t+" "]&&(!v||!v.test(t))&&(1!==p||"object"!==e.nodeName.toLowerCase())){if(c=t,f=e,1===p&&(U.test(t)||z.test(t))){(f=ee.test(t)&&ye(e.parentNode)||e)===e&&d.scope||((s=e.getAttribute("id"))?s=s.replace(re,ie):e.setAttribute("id",s=S)),o=(l=h(t)).length;while(o--)l[o]=(s?"#"+s:":scope")+" "+xe(l[o]);c=l.join(",")}try{return H.apply(n,f.querySelectorAll(c +)),n}catch(e){N(t,!0)}finally{s===S&&e.removeAttribute("id")}}}return g(t.replace($,"$1"),e,n,r)}function ue(){var r=[];return function e(t,n){return r.push(t+" ")>b.cacheLength&&delete e[r.shift()],e[t+" "]=n}}function le(e){return e[S]=!0,e}function ce(e){var t=C.createElement("fieldset");try{return!!e(t)}catch(e){return!1}finally{t.parentNode&&t.parentNode.removeChild(t),t=null}}function fe(e,t){var n=e.split("|"),r=n.length;while(r--)b.attrHandle[n[r]]=t}function pe(e,t){var n=t&&e,r=n&&1===e.nodeType&&1===t.nodeType&&e.sourceIndex-t.sourceIndex;if(r)return r;if(n)while(n=n.nextSibling)if(n===t)return-1;return e?1:-1}function de(t){return function(e){return"input"===e.nodeName.toLowerCase()&&e.type===t}}function he(n){return function(e){var t=e.nodeName.toLowerCase();return("input"===t||"button"===t)&&e.type===n}}function ge(t){return function(e){ +return"form"in e?e.parentNode&&!1===e.disabled?"label"in e?"label"in e.parentNode?e.parentNode.disabled===t:e.disabled===t:e.isDisabled===t||e.isDisabled!==!t&&ae(e)===t:e.disabled===t:"label"in e&&e.disabled===t}}function ve(a){return le(function(o){return o=+o,le(function(e,t){var n,r=a([],e.length,o),i=r.length;while(i--)e[n=r[i]]&&(e[n]=!(t[n]=e[n]))})})}function ye(e){return e&&"undefined"!=typeof e.getElementsByTagName&&e}for(e in d=se.support={},i=se.isXML=function(e){var t=e&&e.namespaceURI,n=e&&(e.ownerDocument||e).documentElement;return!Y.test(t||n&&n.nodeName||"HTML")},T=se.setDocument=function(e){var t,n,r=e?e.ownerDocument||e:p;return r!=C&&9===r.nodeType&&r.documentElement&&(a=(C=r).documentElement,E=!i(C),p!=C&&(n=C.defaultView)&&n.top!==n&&(n.addEventListener?n.addEventListener("unload",oe,!1):n.attachEvent&&n.attachEvent("onunload",oe)),d.scope=ce(function(e){return a.appendChild(e).appendChild(C.createElement("div")),"undefined"!=typeof e.querySelectorAll&&!e.querySelectorAll( +":scope fieldset div").length}),d.attributes=ce(function(e){return e.className="i",!e.getAttribute("className")}),d.getElementsByTagName=ce(function(e){return e.appendChild(C.createComment("")),!e.getElementsByTagName("*").length}),d.getElementsByClassName=K.test(C.getElementsByClassName),d.getById=ce(function(e){return a.appendChild(e).id=S,!C.getElementsByName||!C.getElementsByName(S).length}),d.getById?(b.filter.ID=function(e){var t=e.replace(te,ne);return function(e){return e.getAttribute("id")===t}},b.find.ID=function(e,t){if("undefined"!=typeof t.getElementById&&E){var n=t.getElementById(e);return n?[n]:[]}}):(b.filter.ID=function(e){var n=e.replace(te,ne);return function(e){var t="undefined"!=typeof e.getAttributeNode&&e.getAttributeNode("id");return t&&t.value===n}},b.find.ID=function(e,t){if("undefined"!=typeof t.getElementById&&E){var n,r,i,o=t.getElementById(e);if(o){if((n=o.getAttributeNode("id"))&&n.value===e)return[o];i=t.getElementsByName(e),r=0;while(o=i[r++])if((n=o.getAttributeNode("id") +)&&n.value===e)return[o]}return[]}}),b.find.TAG=d.getElementsByTagName?function(e,t){return"undefined"!=typeof t.getElementsByTagName?t.getElementsByTagName(e):d.qsa?t.querySelectorAll(e):void 0}:function(e,t){var n,r=[],i=0,o=t.getElementsByTagName(e);if("*"===e){while(n=o[i++])1===n.nodeType&&r.push(n);return r}return o},b.find.CLASS=d.getElementsByClassName&&function(e,t){if("undefined"!=typeof t.getElementsByClassName&&E)return t.getElementsByClassName(e)},s=[],v=[],(d.qsa=K.test(C.querySelectorAll))&&(ce(function(e){var t;a.appendChild(e).innerHTML="",e.querySelectorAll("[msallowcapture^='']").length&&v.push("[*^$]="+M+"*(?:''|\"\")"),e.querySelectorAll("[selected]").length||v.push("\\["+M+"*(?:value|"+R+")"),e.querySelectorAll("[id~="+S+"-]").length||v.push("~="),(t=C.createElement("input")).setAttribute("name",""),e.appendChild(t),e.querySelectorAll("[name='']").length||v.push( +"\\["+M+"*name"+M+"*="+M+"*(?:''|\"\")"),e.querySelectorAll(":checked").length||v.push(":checked"),e.querySelectorAll("a#"+S+"+*").length||v.push(".#.+[+~]"),e.querySelectorAll("\\\f"),v.push("[\\r\\n\\f]")}),ce(function(e){e.innerHTML="";var t=C.createElement("input");t.setAttribute("type","hidden"),e.appendChild(t).setAttribute("name","D"),e.querySelectorAll("[name=d]").length&&v.push("name"+M+"*[*^$|!~]?="),2!==e.querySelectorAll(":enabled").length&&v.push(":enabled",":disabled"),a.appendChild(e).disabled=!0,2!==e.querySelectorAll(":disabled").length&&v.push(":enabled",":disabled"),e.querySelectorAll("*,:x"),v.push(",.*:")})),(d.matchesSelector=K.test(c=a.matches||a.webkitMatchesSelector||a.mozMatchesSelector||a.oMatchesSelector||a.msMatchesSelector))&&ce(function(e){d.disconnectedMatch=c.call(e,"*"),c.call(e,"[s!='']:x"),s.push("!=",F)}),v=v.length&&new RegExp(v.join("|")),s=s.length&&new RegExp(s.join("|")),t=K.test( +a.compareDocumentPosition),y=t||K.test(a.contains)?function(e,t){var n=9===e.nodeType?e.documentElement:e,r=t&&t.parentNode;return e===r||!(!r||1!==r.nodeType||!(n.contains?n.contains(r):e.compareDocumentPosition&&16&e.compareDocumentPosition(r)))}:function(e,t){if(t)while(t=t.parentNode)if(t===e)return!0;return!1},j=t?function(e,t){if(e===t)return l=!0,0;var n=!e.compareDocumentPosition-!t.compareDocumentPosition;return n||(1&(n=(e.ownerDocument||e)==(t.ownerDocument||t)?e.compareDocumentPosition(t):1)||!d.sortDetached&&t.compareDocumentPosition(e)===n?e==C||e.ownerDocument==p&&y(p,e)?-1:t==C||t.ownerDocument==p&&y(p,t)?1:u?P(u,e)-P(u,t):0:4&n?-1:1)}:function(e,t){if(e===t)return l=!0,0;var n,r=0,i=e.parentNode,o=t.parentNode,a=[e],s=[t];if(!i||!o)return e==C?-1:t==C?1:i?-1:o?1:u?P(u,e)-P(u,t):0;if(i===o)return pe(e,t);n=e;while(n=n.parentNode)a.unshift(n);n=t;while(n=n.parentNode)s.unshift(n);while(a[r]===s[r])r++;return r?pe(a[r],s[r]):a[r]==p?-1:s[r]==p?1:0}),C},se.matches=function(e,t){return se(e,null, +null,t)},se.matchesSelector=function(e,t){if(T(e),d.matchesSelector&&E&&!N[t+" "]&&(!s||!s.test(t))&&(!v||!v.test(t)))try{var n=c.call(e,t);if(n||d.disconnectedMatch||e.document&&11!==e.document.nodeType)return n}catch(e){N(t,!0)}return 0":{dir:"parentNode",first:!0}," ":{dir:"parentNode"},"+":{dir:"previousSibling",first:!0},"~":{dir:"previousSibling"}},preFilter:{ATTR:function(e){return e[1]=e[1].replace(te,ne),e[3]=(e[3]||e[4]||e[5]||"").replace(te,ne),"~="===e[2]&&(e[3]=" "+e[3]+" "),e.slice(0,4)},CHILD:function(e){return e[1]=e[1].toLowerCase(),"nth"===e[1].slice(0,3)?(e[3]||se.error(e[0]),e[4]=+(e[4]?e[5]+(e[6]||1):2*("even"===e[3]||"odd"===e[3])),e[5]=+(e[7]+e[8]||"odd"===e[3])):e[3]&&se.error(e[0]),e},PSEUDO:function(e){var t,n=!e[6]&&e[2];return G.CHILD.test(e[0])?null:(e[3]?e[2]=e[4]||e[5]||"":n&&X.test(n)&&(t=h(n,!0))&&(t=n.indexOf(")",n.length-t)-n.length)&&(e[0]=e[0].slice(0,t),e[2]=n.slice(0,t)),e.slice(0,3))}},filter:{TAG:function(e){var t=e.replace(te,ne +).toLowerCase();return"*"===e?function(){return!0}:function(e){return e.nodeName&&e.nodeName.toLowerCase()===t}},CLASS:function(e){var t=m[e+" "];return t||(t=new RegExp("(^|"+M+")"+e+"("+M+"|$)"))&&m(e,function(e){return t.test("string"==typeof e.className&&e.className||"undefined"!=typeof e.getAttribute&&e.getAttribute("class")||"")})},ATTR:function(n,r,i){return function(e){var t=se.attr(e,n);return null==t?"!="===r:!r||(t+="","="===r?t===i:"!="===r?t!==i:"^="===r?i&&0===t.indexOf(i):"*="===r?i&&-1:\x20\t\r\n\f]*)[\x20\t\r\n\f]*\/?>(?:<\/\1>|)$/i;function j(e,n,r){return m(n)?S.grep(e,function(e,t){return!!n.call(e,t,e)!==r}):n.nodeType?S.grep(e,function(e){return e===n!==r}):"string"!=typeof n?S.grep(e,function(e){return-1)[^>]*|#([\w-]+))$/;(S.fn.init=function(e,t,n){var r,i;if(!e)return this;if(n=n||D,"string"==typeof e){if(!(r="<"===e[0]&&">"===e[e.length-1]&&3<=e.length?[null,e,null]:q.exec(e))||!r[1]&&t)return!t||t.jquery?(t||n).find(e):this.constructor(t).find(e);if(r[1]){if(t=t instanceof S?t[0]:t,S.merge(this,S.parseHTML(r[1],t&&t.nodeType?t.ownerDocument||t:E,!0)),N.test(r[1])&&S.isPlainObject(t))for(r in t)m(this[r])?this[r](t[r]):this.attr(r,t[r]);return this}return(i=E.getElementById(r[2]))&&(this[0]=i,this.length=1),this}return e.nodeType?(this[0]=e,this.length=1,this):m(e)?void 0!==n.ready?n.ready(e):e(S):S.makeArray(e,this)}).prototype=S.fn,D=S(E);var L=/^(?:parents|prev(?:Until|All))/,H={children:!0,contents:!0,next:!0,prev:!0};function O(e,t){while((e=e[t])&&1!==e.nodeType);return e}S.fn.extend({has:function(e){var t=S(e,this),n=t.length;return this.filter(function(){for( +var e=0;e\x20\t\r\n\f]*)/i,he=/^$|^module$|\/(?:java|ecma)script/i;ce=E.createDocumentFragment().appendChild(E.createElement("div")),(fe=E.createElement("input")).setAttribute("type","radio"),fe.setAttribute("checked","checked"),fe.setAttribute("name","t"),ce.appendChild(fe),y.checkClone=ce.cloneNode(!0).cloneNode(!0).lastChild.checked,ce.innerHTML="",y.noCloneChecked=!!ce.cloneNode(!0).lastChild.defaultValue,ce.innerHTML="",y.option=!!ce.lastChild;var ge={thead:[1,"","
"],col:[2,"","
"],tr:[2,"","
"],td:[3,"","
"],_default:[0,"",""]};function ve(e,t){var n; +return n="undefined"!=typeof e.getElementsByTagName?e.getElementsByTagName(t||"*"):"undefined"!=typeof e.querySelectorAll?e.querySelectorAll(t||"*"):[],void 0===t||t&&A(e,t)?S.merge([e],n):n}function ye(e,t){for(var n=0,r=e.length;n",""]);var me=/<|&#?\w+;/;function xe(e,t,n,r,i){for(var o,a,s,u,l,c,f=t.createDocumentFragment(),p=[],d=0,h=e.length;d\s*$/g;function je(e,t){return A(e,"table")&&A(11!==t.nodeType?t:t.firstChild,"tr")&&S(e).children("tbody")[0]||e}function De(e){return e.type=(null!==e.getAttribute("type"))+"/"+e.type,e}function qe(e){return"true/"===(e.type||"").slice(0,5)?e.type=e.type.slice(5):e.removeAttribute("type"),e}function Le(e,t){var n,r,i,o,a,s;if(1===t.nodeType){if(Y.hasData(e)&&(s=Y.get(e).events))for(i in Y.remove(t,"handle events"),s)for(n=0, +r=s[i].length;n").attr(n.scriptAttrs||{}).prop({charset:n.scriptCharset,src:n.url}).on("load error",i=function(e){r.remove(),i=null,e&&t("error"===e.type?404:200,e.type)}),E.head.appendChild(r[0])},abort:function(){i&&i()}}});var _t,zt=[],Ut=/(=)\?(?=&|$)|\?\?/;S.ajaxSetup({jsonp:"callback",jsonpCallback:function(){var e=zt.pop()||S.expando+"_"+wt.guid++;return this[e]=!0,e}}),S.ajaxPrefilter("json jsonp",function(e,t,n){var r,i,o,a=!1!==e.jsonp&&(Ut.test(e.url)?"url":"string"==typeof e.data&&0===(e.contentType||"").indexOf("application/x-www-form-urlencoded")&&Ut.test(e.data)&&"data");if(a||"jsonp"===e.dataTypes[0])return r=e.jsonpCallback=m(e.jsonpCallback)?e.jsonpCallback():e.jsonpCallback,a?e[a]=e[a].replace(Ut,"$1"+r):!1!==e.jsonp&&(e.url+=(Tt.test(e.url)?"&":"?")+e.jsonp+"="+r),e.converters["script json"]=function(){return o||S.error(r+" was not called"),o[0]},e.dataTypes[0]="json",i=C[r] +,C[r]=function(){o=arguments},n.always(function(){void 0===i?S(C).removeProp(r):C[r]=i,e[r]&&(e.jsonpCallback=t.jsonpCallback,zt.push(r)),o&&m(i)&&i(o[0]),o=i=void 0}),"script"}),y.createHTMLDocument=((_t=E.implementation.createHTMLDocument("").body).innerHTML="
",2===_t.childNodes.length),S.parseHTML=function(e,t,n){return"string"!=typeof e?[]:("boolean"==typeof t&&(n=t,t=!1),t||(y.createHTMLDocument?((r=(t=E.implementation.createHTMLDocument("")).createElement("base")).href=E.location.href,t.head.appendChild(r)):t=E),o=!n&&[],(i=N.exec(e))?[t.createElement(i[1])]:(i=xe([e],t,o),o&&o.length&&S(o).remove(),S.merge([],i.childNodes)));var r,i,o},S.fn.load=function(e,t,n){var r,i,o,a=this,s=e.indexOf(" ");return-1").append(S.parseHTML(e)).find(r):e)}).always(n&&function(e,t){a.each( +function(){n.apply(this,o||[e.responseText,t,e])})}),this},S.expr.pseudos.animated=function(t){return S.grep(S.timers,function(e){return t===e.elem}).length},S.offset={setOffset:function(e,t,n){var r,i,o,a,s,u,l=S.css(e,"position"),c=S(e),f={};"static"===l&&(e.style.position="relative"),s=c.offset(),o=S.css(e,"top"),u=S.css(e,"left"),("absolute"===l||"fixed"===l)&&-1<(o+u).indexOf("auto")?(a=(r=c.position()).top,i=r.left):(a=parseFloat(o)||0,i=parseFloat(u)||0),m(t)&&(t=t.call(e,n,S.extend({},s))),null!=t.top&&(f.top=t.top-s.top+a),null!=t.left&&(f.left=t.left-s.left+i),"using"in t?t.using.call(e,f):c.css(f)}},S.fn.extend({offset:function(t){if(arguments.length)return void 0===t?this:this.each(function(e){S.offset.setOffset(this,t,e)});var e,n,r=this[0];return r?r.getClientRects().length?(e=r.getBoundingClientRect(),n=r.ownerDocument.defaultView,{top:e.top+n.pageYOffset,left:e.left+n.pageXOffset}):{top:0,left:0}:void 0},position:function(){if(this[0]){var e,t,n,r=this[0],i={top:0,left:0};if("fixed"===S.css(r, +"position"))t=r.getBoundingClientRect();else{t=this.offset(),n=r.ownerDocument,e=r.offsetParent||n.documentElement;while(e&&(e===n.body||e===n.documentElement)&&"static"===S.css(e,"position"))e=e.parentNode;e&&e!==r&&1===e.nodeType&&((i=S(e).offset()).top+=S.css(e,"borderTopWidth",!0),i.left+=S.css(e,"borderLeftWidth",!0))}return{top:t.top-i.top-S.css(r,"marginTop",!0),left:t.left-i.left-S.css(r,"marginLeft",!0)}}},offsetParent:function(){return this.map(function(){var e=this.offsetParent;while(e&&"static"===S.css(e,"position"))e=e.offsetParent;return e||re})}}),S.each({scrollLeft:"pageXOffset",scrollTop:"pageYOffset"},function(t,i){var o="pageYOffset"===i;S.fn[t]=function(e){return $(this,function(e,t,n){var r;if(x(e)?r=e:9===e.nodeType&&(r=e.defaultView),void 0===n)return r?r[i]:e[t];r?r.scrollTo(o?r.pageXOffset:n,o?n:r.pageYOffset):e[t]=n},t,e,arguments.length)}}),S.each(["top","left"],function(e,n){S.cssHooks[n]=Fe(y.pixelPosition,function(e,t){if(t)return t=We(e,n),Pe.test(t)?S(e).position()[n]+"px":t})} +),S.each({Height:"height",Width:"width"},function(a,s){S.each({padding:"inner"+a,content:s,"":"outer"+a},function(r,o){S.fn[o]=function(e,t){var n=arguments.length&&(r||"boolean"!=typeof e),i=r||(!0===e||!0===t?"margin":"border");return $(this,function(e,t,n){var r;return x(e)?0===o.indexOf("outer")?e["inner"+a]:e.document.documentElement["client"+a]:9===e.nodeType?(r=e.documentElement,Math.max(e.body["scroll"+a],r["scroll"+a],e.body["offset"+a],r["offset"+a],r["client"+a])):void 0===n?S.css(e,t,i):S.style(e,t,n,i)},s,n?e:void 0,n)}})}),S.each(["ajaxStart","ajaxStop","ajaxComplete","ajaxError","ajaxSuccess","ajaxSend"],function(e,t){S.fn[t]=function(e){return this.on(t,e)}}),S.fn.extend({bind:function(e,t,n){return this.on(e,null,t,n)},unbind:function(e,t){return this.off(e,null,t)},delegate:function(e,t,n,r){return this.on(t,e,n,r)},undelegate:function(e,t,n){return 1===arguments.length?this.off(e,"**"):this.off(t,e||"**",n)},hover:function(e,t){return this.mouseenter(e).mouseleave(t||e)}}),S.each( +"blur focus focusin focusout resize scroll click dblclick mousedown mouseup mousemove mouseover mouseout mouseenter mouseleave change select submit keydown keypress keyup contextmenu".split(" "),function(e,n){S.fn[n]=function(e,t){return 0",options:{classes:{},disabled:!1,create:null},_createWidget:function(t,e){e=y(e||this.defaultElement||this)[0],this.element=y(e),this.uuid=i++,this.eventNamespace="."+this.widgetName+this.uuid,this.bindings=y(),this.hoverable=y(),this.focusable=y(),this.classesElementLookup={},e!==this&&(y.data(e,this.widgetFullName,this),this._on(!0,this.element,{remove:function(t){t.target===e&&this.destroy()}}),this.document=y(e.style?e.ownerDocument:e.document||e),this.window=y(this.document[0].defaultView||this.document[0].parentWindow)),this.options=y.widget.extend({},this.options,this._getCreateOptions(),t),this._create(),this.options.disabled&&this._setOptionDisabled(this.options.disabled),this._trigger("create",null,this._getCreateEventData()),this._init()},_getCreateOptions:function(){return{}},_getCreateEventData:y.noop,_create:y.noop,_init:y.noop,destroy:function(){var i=this;this._destroy(),y.each(this.classesElementLookup,function(t,e){i._removeClass(e,t)}),this.element.off(this.eventNamespace).removeData(this.widgetFullName),this.widget().off(this.eventNamespace).removeAttr("aria-disabled"),this.bindings.off(this.eventNamespace)},_destroy:y.noop,widget:function(){return this.element},option:function(t,e){var i,s,n,o=t;if(0===arguments.length)return y.widget.extend({},this.options);if("string"==typeof t)if(o={},t=(i=t.split(".")).shift(),i.length){for(s=o[t]=y.widget.extend({},this.options[t]),n=0;n
"),i=e.children()[0];return y("body").append(e),t=i.offsetWidth,e.css("overflow","scroll"),t===(i=i.offsetWidth)&&(i=e[0].clientWidth),e.remove(),s=t-i},getScrollInfo:function(t){var e=t.isWindow||t.isDocument?"":t.element.css("overflow-x"),i=t.isWindow||t.isDocument?"":t.element.css("overflow-y"),e="scroll"===e||"auto"===e&&t.widthx(D(s),D(n))?o.important="horizontal":o.important="vertical",p.using.call(this,t,o)}),h.offset(y.extend(l,{using:t}))})},y.ui.position={fit:{left:function(t,e){var i=e.within,s=i.isWindow?i.scrollLeft:i.offset.left,n=i.width,o=t.left-e.collisionPosition.marginLeft,h=s-o,a=o+e.collisionWidth-n-s;e.collisionWidth>n?0n?0=this.options.distance},_mouseDelayMet:function(){return this.mouseDelayMet},_mouseStart:function(){},_mouseDrag:function(){},_mouseStop:function(){},_mouseCapture:function(){return!0}}),y.ui.plugin={add:function(t,e,i){var s,n=y.ui[t].prototype;for(s in i)n.plugins[s]=n.plugins[s]||[],n.plugins[s].push([e,i[s]])},call:function(t,e,i,s){var n,o=t.plugins[e];if(o&&(s||t.element[0].parentNode&&11!==t.element[0].parentNode.nodeType))for(n=0;n").css({overflow:"hidden",position:this.element.css("position"),width:this.element.outerWidth(),height:this.element.outerHeight(),top:this.element.css("top"),left:this.element.css("left")})),this.element=this.element.parent().data("ui-resizable",this.element.resizable("instance")),this.elementIsWrapper=!0,t={marginTop:this.originalElement.css("marginTop"),marginRight:this.originalElement.css("marginRight"),marginBottom:this.originalElement.css("marginBottom"),marginLeft:this.originalElement.css("marginLeft")},this.element.css(t),this.originalElement.css("margin",0),this.originalResizeStyle=this.originalElement.css("resize"),this.originalElement.css("resize","none"),this._proportionallyResizeElements.push(this.originalElement.css({position:"static",zoom:1,display:"block"})),this.originalElement.css(t),this._proportionallyResize()),this._setupHandles(),e.autoHide&&y(this.element).on("mouseenter",function(){e.disabled||(i._removeClass("ui-resizable-autohide"),i._handles.show())}).on("mouseleave",function(){e.disabled||i.resizing||(i._addClass("ui-resizable-autohide"),i._handles.hide())}),this._mouseInit()},_destroy:function(){this._mouseDestroy(),this._addedHandles.remove();function t(t){y(t).removeData("resizable").removeData("ui-resizable").off(".resizable")}var e;return this.elementIsWrapper&&(t(this.element),e=this.element,this.originalElement.css({position:e.css("position"),width:e.outerWidth(),height:e.outerHeight(),top:e.css("top"),left:e.css("left")}).insertAfter(e),e.remove()),this.originalElement.css("resize",this.originalResizeStyle),t(this.originalElement),this},_setOption:function(t,e){switch(this._super(t,e),t){case"handles":this._removeHandles(),this._setupHandles();break;case"aspectRatio":this._aspectRatio=!!e}},_setupHandles:function(){var t,e,i,s,n,o=this.options,h=this;if(this.handles=o.handles||(y(".ui-resizable-handle",this.element).length?{n:".ui-resizable-n",e:".ui-resizable-e",s:".ui-resizable-s",w:".ui-resizable-w",se:".ui-resizable-se",sw:".ui-resizable-sw",ne:".ui-resizable-ne",nw:".ui-resizable-nw"}:"e,s,se"),this._handles=y(),this._addedHandles=y(),this.handles.constructor===String)for("all"===this.handles&&(this.handles="n,e,s,w,se,sw,ne,nw"),i=this.handles.split(","),this.handles={},e=0;e"),this._addClass(n,"ui-resizable-handle "+s),n.css({zIndex:o.zIndex}),this.handles[t]=".ui-resizable-"+t,this.element.children(this.handles[t]).length||(this.element.append(n),this._addedHandles=this._addedHandles.add(n));this._renderAxis=function(t){var e,i,s;for(e in t=t||this.element,this.handles)this.handles[e].constructor===String?this.handles[e]=this.element.children(this.handles[e]).first().show():(this.handles[e].jquery||this.handles[e].nodeType)&&(this.handles[e]=y(this.handles[e]),this._on(this.handles[e],{mousedown:h._mouseDown})),this.elementIsWrapper&&this.originalElement[0].nodeName.match(/^(textarea|input|select|button)$/i)&&(i=y(this.handles[e],this.element),s=/sw|ne|nw|se|n|s/.test(e)?i.outerHeight():i.outerWidth(),i=["padding",/ne|nw|n/.test(e)?"Top":/se|sw|s/.test(e)?"Bottom":/^e$/.test(e)?"Right":"Left"].join(""),t.css(i,s),this._proportionallyResize()),this._handles=this._handles.add(this.handles[e])},this._renderAxis(this.element),this._handles=this._handles.add(this.element.find(".ui-resizable-handle")),this._handles.disableSelection(),this._handles.on("mouseover",function(){h.resizing||(this.className&&(n=this.className.match(/ui-resizable-(se|sw|ne|nw|n|e|s|w)/i)),h.axis=n&&n[1]?n[1]:"se")}),o.autoHide&&(this._handles.hide(),this._addClass("ui-resizable-autohide"))},_removeHandles:function(){this._addedHandles.remove()},_mouseCapture:function(t){var e,i,s=!1;for(e in this.handles)(i=y(this.handles[e])[0])!==t.target&&!y.contains(i,t.target)||(s=!0);return!this.options.disabled&&s},_mouseStart:function(t){var e,i,s=this.options,n=this.element;return this.resizing=!0,this._renderProxy(),e=this._num(this.helper.css("left")),i=this._num(this.helper.css("top")),s.containment&&(e+=y(s.containment).scrollLeft()||0,i+=y(s.containment).scrollTop()||0),this.offset=this.helper.offset(),this.position={left:e,top:i},this.size=this._helper?{width:this.helper.width(),height:this.helper.height()}:{width:n.width(),height:n.height()},this.originalSize=this._helper?{width:n.outerWidth(),height:n.outerHeight()}:{width:n.width(),height:n.height()},this.sizeDiff={width:n.outerWidth()-n.width(),height:n.outerHeight()-n.height()},this.originalPosition={left:e,top:i},this.originalMousePosition={left:t.pageX,top:t.pageY},this.aspectRatio="number"==typeof s.aspectRatio?s.aspectRatio:this.originalSize.width/this.originalSize.height||1,s=y(".ui-resizable-"+this.axis).css("cursor"),y("body").css("cursor","auto"===s?this.axis+"-resize":s),this._addClass("ui-resizable-resizing"),this._propagate("start",t),!0},_mouseDrag:function(t){var e=this.originalMousePosition,i=this.axis,s=t.pageX-e.left||0,e=t.pageY-e.top||0,i=this._change[i];return this._updatePrevProperties(),i&&(e=i.apply(this,[t,s,e]),this._updateVirtualBoundaries(t.shiftKey),(this._aspectRatio||t.shiftKey)&&(e=this._updateRatio(e,t)),e=this._respectSize(e,t),this._updateCache(e),this._propagate("resize",t),e=this._applyChanges(),!this._helper&&this._proportionallyResizeElements.length&&this._proportionallyResize(),y.isEmptyObject(e)||(this._updatePrevProperties(),this._trigger("resize",t,this.ui()),this._applyChanges())),!1},_mouseStop:function(t){this.resizing=!1;var e,i,s,n=this.options,o=this;return this._helper&&(s=(e=(i=this._proportionallyResizeElements).length&&/textarea/i.test(i[0].nodeName))&&this._hasScroll(i[0],"left")?0:o.sizeDiff.height,i=e?0:o.sizeDiff.width,e={width:o.helper.width()-i,height:o.helper.height()-s},i=parseFloat(o.element.css("left"))+(o.position.left-o.originalPosition.left)||null,s=parseFloat(o.element.css("top"))+(o.position.top-o.originalPosition.top)||null,n.animate||this.element.css(y.extend(e,{top:s,left:i})),o.helper.height(o.size.height),o.helper.width(o.size.width),this._helper&&!n.animate&&this._proportionallyResize()),y("body").css("cursor","auto"),this._removeClass("ui-resizable-resizing"),this._propagate("stop",t),this._helper&&this.helper.remove(),!1},_updatePrevProperties:function(){this.prevPosition={top:this.position.top,left:this.position.left},this.prevSize={width:this.size.width,height:this.size.height}},_applyChanges:function(){var t={};return this.position.top!==this.prevPosition.top&&(t.top=this.position.top+"px"),this.position.left!==this.prevPosition.left&&(t.left=this.position.left+"px"),this.size.width!==this.prevSize.width&&(t.width=this.size.width+"px"),this.size.height!==this.prevSize.height&&(t.height=this.size.height+"px"),this.helper.css(t),t},_updateVirtualBoundaries:function(t){var e,i,s=this.options,n={minWidth:this._isNumber(s.minWidth)?s.minWidth:0,maxWidth:this._isNumber(s.maxWidth)?s.maxWidth:1/0,minHeight:this._isNumber(s.minHeight)?s.minHeight:0,maxHeight:this._isNumber(s.maxHeight)?s.maxHeight:1/0};(this._aspectRatio||t)&&(e=n.minHeight*this.aspectRatio,i=n.minWidth/this.aspectRatio,s=n.maxHeight*this.aspectRatio,t=n.maxWidth/this.aspectRatio,e>n.minWidth&&(n.minWidth=e),i>n.minHeight&&(n.minHeight=i),st.width,h=this._isNumber(t.height)&&e.minHeight&&e.minHeight>t.height,a=this.originalPosition.left+this.originalSize.width,r=this.originalPosition.top+this.originalSize.height,l=/sw|nw|w/.test(i),i=/nw|ne|n/.test(i);return o&&(t.width=e.minWidth),h&&(t.height=e.minHeight),s&&(t.width=e.maxWidth),n&&(t.height=e.maxHeight),o&&l&&(t.left=a-e.minWidth),s&&l&&(t.left=a-e.maxWidth),h&&i&&(t.top=r-e.minHeight),n&&i&&(t.top=r-e.maxHeight),t.width||t.height||t.left||!t.top?t.width||t.height||t.top||!t.left||(t.left=null):t.top=null,t},_getPaddingPlusBorderDimensions:function(t){for(var e=0,i=[],s=[t.css("borderTopWidth"),t.css("borderRightWidth"),t.css("borderBottomWidth"),t.css("borderLeftWidth")],n=[t.css("paddingTop"),t.css("paddingRight"),t.css("paddingBottom"),t.css("paddingLeft")];e<4;e++)i[e]=parseFloat(s[e])||0,i[e]+=parseFloat(n[e])||0;return{height:i[0]+i[2],width:i[1]+i[3]}},_proportionallyResize:function(){if(this._proportionallyResizeElements.length)for(var t,e=0,i=this.helper||this.element;e").css({overflow:"hidden"}),this._addClass(this.helper,this._helper),this.helper.css({width:this.element.outerWidth(),height:this.element.outerHeight(),position:"absolute",left:this.elementOffset.left+"px",top:this.elementOffset.top+"px",zIndex:++e.zIndex}),this.helper.appendTo("body").disableSelection()):this.helper=this.element},_change:{e:function(t,e){return{width:this.originalSize.width+e}},w:function(t,e){var i=this.originalSize;return{left:this.originalPosition.left+e,width:i.width-e}},n:function(t,e,i){var s=this.originalSize;return{top:this.originalPosition.top+i,height:s.height-i}},s:function(t,e,i){return{height:this.originalSize.height+i}},se:function(t,e,i){return y.extend(this._change.s.apply(this,arguments),this._change.e.apply(this,[t,e,i]))},sw:function(t,e,i){return y.extend(this._change.s.apply(this,arguments),this._change.w.apply(this,[t,e,i]))},ne:function(t,e,i){return y.extend(this._change.n.apply(this,arguments),this._change.e.apply(this,[t,e,i]))},nw:function(t,e,i){return y.extend(this._change.n.apply(this,arguments),this._change.w.apply(this,[t,e,i]))}},_propagate:function(t,e){y.ui.plugin.call(this,t,[e,this.ui()]),"resize"!==t&&this._trigger(t,e,this.ui())},plugins:{},ui:function(){return{originalElement:this.originalElement,element:this.element,helper:this.helper,position:this.position,size:this.size,originalSize:this.originalSize,originalPosition:this.originalPosition}}}),y.ui.plugin.add("resizable","animate",{stop:function(e){var i=y(this).resizable("instance"),t=i.options,s=i._proportionallyResizeElements,n=s.length&&/textarea/i.test(s[0].nodeName),o=n&&i._hasScroll(s[0],"left")?0:i.sizeDiff.height,h=n?0:i.sizeDiff.width,n={width:i.size.width-h,height:i.size.height-o},h=parseFloat(i.element.css("left"))+(i.position.left-i.originalPosition.left)||null,o=parseFloat(i.element.css("top"))+(i.position.top-i.originalPosition.top)||null;i.element.animate(y.extend(n,o&&h?{top:o,left:h}:{}),{duration:t.animateDuration,easing:t.animateEasing,step:function(){var t={width:parseFloat(i.element.css("width")),height:parseFloat(i.element.css("height")),top:parseFloat(i.element.css("top")),left:parseFloat(i.element.css("left"))};s&&s.length&&y(s[0]).css({width:t.width,height:t.height}),i._updateCache(t),i._propagate("resize",e)}})}}),y.ui.plugin.add("resizable","containment",{start:function(){var i,s,n=y(this).resizable("instance"),t=n.options,e=n.element,o=t.containment,h=o instanceof y?o.get(0):/parent/.test(o)?e.parent().get(0):o;h&&(n.containerElement=y(h),/document/.test(o)||o===document?(n.containerOffset={left:0,top:0},n.containerPosition={left:0,top:0},n.parentData={element:y(document),left:0,top:0,width:y(document).width(),height:y(document).height()||document.body.parentNode.scrollHeight}):(i=y(h),s=[],y(["Top","Right","Left","Bottom"]).each(function(t,e){s[t]=n._num(i.css("padding"+e))}),n.containerOffset=i.offset(),n.containerPosition=i.position(),n.containerSize={height:i.innerHeight()-s[3],width:i.innerWidth()-s[1]},t=n.containerOffset,e=n.containerSize.height,o=n.containerSize.width,o=n._hasScroll(h,"left")?h.scrollWidth:o,e=n._hasScroll(h)?h.scrollHeight:e,n.parentData={element:h,left:t.left,top:t.top,width:o,height:e}))},resize:function(t){var e=y(this).resizable("instance"),i=e.options,s=e.containerOffset,n=e.position,o=e._aspectRatio||t.shiftKey,h={top:0,left:0},a=e.containerElement,t=!0;a[0]!==document&&/static/.test(a.css("position"))&&(h=s),n.left<(e._helper?s.left:0)&&(e.size.width=e.size.width+(e._helper?e.position.left-s.left:e.position.left-h.left),o&&(e.size.height=e.size.width/e.aspectRatio,t=!1),e.position.left=i.helper?s.left:0),n.top<(e._helper?s.top:0)&&(e.size.height=e.size.height+(e._helper?e.position.top-s.top:e.position.top),o&&(e.size.width=e.size.height*e.aspectRatio,t=!1),e.position.top=e._helper?s.top:0),i=e.containerElement.get(0)===e.element.parent().get(0),n=/relative|absolute/.test(e.containerElement.css("position")),i&&n?(e.offset.left=e.parentData.left+e.position.left,e.offset.top=e.parentData.top+e.position.top):(e.offset.left=e.element.offset().left,e.offset.top=e.element.offset().top),n=Math.abs(e.sizeDiff.width+(e._helper?e.offset.left-h.left:e.offset.left-s.left)),s=Math.abs(e.sizeDiff.height+(e._helper?e.offset.top-h.top:e.offset.top-s.top)),n+e.size.width>=e.parentData.width&&(e.size.width=e.parentData.width-n,o&&(e.size.height=e.size.width/e.aspectRatio,t=!1)),s+e.size.height>=e.parentData.height&&(e.size.height=e.parentData.height-s,o&&(e.size.width=e.size.height*e.aspectRatio,t=!1)),t||(e.position.left=e.prevPosition.left,e.position.top=e.prevPosition.top,e.size.width=e.prevSize.width,e.size.height=e.prevSize.height)},stop:function(){var t=y(this).resizable("instance"),e=t.options,i=t.containerOffset,s=t.containerPosition,n=t.containerElement,o=y(t.helper),h=o.offset(),a=o.outerWidth()-t.sizeDiff.width,o=o.outerHeight()-t.sizeDiff.height;t._helper&&!e.animate&&/relative/.test(n.css("position"))&&y(this).css({left:h.left-s.left-i.left,width:a,height:o}),t._helper&&!e.animate&&/static/.test(n.css("position"))&&y(this).css({left:h.left-s.left-i.left,width:a,height:o})}}),y.ui.plugin.add("resizable","alsoResize",{start:function(){var t=y(this).resizable("instance").options;y(t.alsoResize).each(function(){var t=y(this);t.data("ui-resizable-alsoresize",{width:parseFloat(t.width()),height:parseFloat(t.height()),left:parseFloat(t.css("left")),top:parseFloat(t.css("top"))})})},resize:function(t,i){var e=y(this).resizable("instance"),s=e.options,n=e.originalSize,o=e.originalPosition,h={height:e.size.height-n.height||0,width:e.size.width-n.width||0,top:e.position.top-o.top||0,left:e.position.left-o.left||0};y(s.alsoResize).each(function(){var t=y(this),s=y(this).data("ui-resizable-alsoresize"),n={},e=t.parents(i.originalElement[0]).length?["width","height"]:["width","height","top","left"];y.each(e,function(t,e){var i=(s[e]||0)+(h[e]||0);i&&0<=i&&(n[e]=i||null)}),t.css(n)})},stop:function(){y(this).removeData("ui-resizable-alsoresize")}}),y.ui.plugin.add("resizable","ghost",{start:function(){var t=y(this).resizable("instance"),e=t.size;t.ghost=t.originalElement.clone(),t.ghost.css({opacity:.25,display:"block",position:"relative",height:e.height,width:e.width,margin:0,left:0,top:0}),t._addClass(t.ghost,"ui-resizable-ghost"),!1!==y.uiBackCompat&&"string"==typeof t.options.ghost&&t.ghost.addClass(this.options.ghost),t.ghost.appendTo(t.helper)},resize:function(){var t=y(this).resizable("instance");t.ghost&&t.ghost.css({position:"relative",height:t.size.height,width:t.size.width})},stop:function(){var t=y(this).resizable("instance");t.ghost&&t.helper&&t.helper.get(0).removeChild(t.ghost.get(0))}}),y.ui.plugin.add("resizable","grid",{resize:function(){var t,e=y(this).resizable("instance"),i=e.options,s=e.size,n=e.originalSize,o=e.originalPosition,h=e.axis,a="number"==typeof i.grid?[i.grid,i.grid]:i.grid,r=a[0]||1,l=a[1]||1,u=Math.round((s.width-n.width)/r)*r,p=Math.round((s.height-n.height)/l)*l,d=n.width+u,c=n.height+p,f=i.maxWidth&&i.maxWidthd,s=i.minHeight&&i.minHeight>c;i.grid=a,m&&(d+=r),s&&(c+=l),f&&(d-=r),g&&(c-=l),/^(se|s|e)$/.test(h)?(e.size.width=d,e.size.height=c):/^(ne)$/.test(h)?(e.size.width=d,e.size.height=c,e.position.top=o.top-p):/^(sw)$/.test(h)?(e.size.width=d,e.size.height=c,e.position.left=o.left-u):((c-l<=0||d-r<=0)&&(t=e._getPaddingPlusBorderDimensions(this)),0",options:{classes:{},disabled:!1,create:null},_createWidget:function(t,e){e=y(e||this.defaultElement||this)[0],this.element=y(e),this.uuid=i++,this.eventNamespace="."+this.widgetName+this.uuid,this.bindings=y(),this.hoverable=y(),this.focusable=y(),this.classesElementLookup={},e!==this&&(y.data(e,this.widgetFullName,this),this._on(!0,this.element,{remove:function(t +){t.target===e&&this.destroy()}}),this.document=y(e.style?e.ownerDocument:e.document||e),this.window=y(this.document[0].defaultView||this.document[0].parentWindow)),this.options=y.widget.extend({},this.options,this._getCreateOptions(),t),this._create(),this.options.disabled&&this._setOptionDisabled(this.options.disabled),this._trigger("create",null,this._getCreateEventData()),this._init()},_getCreateOptions:function(){return{}},_getCreateEventData:y.noop,_create:y.noop,_init:y.noop,destroy:function(){var i=this;this._destroy(),y.each(this.classesElementLookup,function(t,e){i._removeClass(e,t)}),this.element.off(this.eventNamespace).removeData(this.widgetFullName),this.widget().off(this.eventNamespace).removeAttr("aria-disabled"),this.bindings.off(this.eventNamespace)},_destroy:y.noop,widget:function(){return this.element},option:function(t,e){var i,s,n,o=t;if(0===arguments.length)return y.widget.extend({},this.options);if("string"==typeof t)if(o={},t=(i=t.split(".")).shift(),i.length){for(s=o[t +]=y.widget.extend({},this.options[t]),n=0;n
"),i=e.children()[0];return y("body").append(e),t=i.offsetWidth,e.css("overflow","scroll"),t===(i=i.offsetWidth)&&(i=e[0].clientWidth),e.remove(),s=t-i}, +getScrollInfo:function(t){var e=t.isWindow||t.isDocument?"":t.element.css("overflow-x"),i=t.isWindow||t.isDocument?"":t.element.css("overflow-y"),e="scroll"===e||"auto"===e&&t.widthx(D(s),D(n))?o.important="horizontal":o.important="vertical",p.using.call(this,t,o)}),h.offset(y.extend(l,{using:t}))})},y.ui.position={fit:{left:function(t,e){var i=e.within, +s=i.isWindow?i.scrollLeft:i.offset.left,n=i.width,o=t.left-e.collisionPosition.marginLeft,h=s-o,a=o+e.collisionWidth-n-s;e.collisionWidth>n?0n?0=this.options.distance},_mouseDelayMet:function(){return this.mouseDelayMet},_mouseStart:function(){},_mouseDrag:function(){},_mouseStop:function(){},_mouseCapture:function(){return!0}}),y.ui.plugin={add:function(t,e,i){var s,n=y.ui[t].prototype;for(s in i)n.plugins[s]=n.plugins[s]||[],n.plugins[s].push([e,i[s]])},call:function(t,e,i,s){var n,o=t.plugins[e];if(o&&(s||t.element[0].parentNode&&11!==t.element[0].parentNode.nodeType))for(n=0;n").css({overflow:"hidden",position:this.element.css("position"),width:this.element.outerWidth(),height:this.element.outerHeight(),top:this.element.css("top"),left:this.element.css("left")})), +this.element=this.element.parent().data("ui-resizable",this.element.resizable("instance")),this.elementIsWrapper=!0,t={marginTop:this.originalElement.css("marginTop"),marginRight:this.originalElement.css("marginRight"),marginBottom:this.originalElement.css("marginBottom"),marginLeft:this.originalElement.css("marginLeft")},this.element.css(t),this.originalElement.css("margin",0),this.originalResizeStyle=this.originalElement.css("resize"),this.originalElement.css("resize","none"),this._proportionallyResizeElements.push(this.originalElement.css({position:"static",zoom:1,display:"block"})),this.originalElement.css(t),this._proportionallyResize()),this._setupHandles(),e.autoHide&&y(this.element).on("mouseenter",function(){e.disabled||(i._removeClass("ui-resizable-autohide"),i._handles.show())}).on("mouseleave",function(){e.disabled||i.resizing||(i._addClass("ui-resizable-autohide"),i._handles.hide())}),this._mouseInit()},_destroy:function(){this._mouseDestroy(),this._addedHandles.remove();function t(t){y(t +).removeData("resizable").removeData("ui-resizable").off(".resizable")}var e;return this.elementIsWrapper&&(t(this.element),e=this.element,this.originalElement.css({position:e.css("position"),width:e.outerWidth(),height:e.outerHeight(),top:e.css("top"),left:e.css("left")}).insertAfter(e),e.remove()),this.originalElement.css("resize",this.originalResizeStyle),t(this.originalElement),this},_setOption:function(t,e){switch(this._super(t,e),t){case"handles":this._removeHandles(),this._setupHandles();break;case"aspectRatio":this._aspectRatio=!!e}},_setupHandles:function(){var t,e,i,s,n,o=this.options,h=this;if(this.handles=o.handles||(y(".ui-resizable-handle",this.element).length?{n:".ui-resizable-n",e:".ui-resizable-e",s:".ui-resizable-s",w:".ui-resizable-w",se:".ui-resizable-se",sw:".ui-resizable-sw",ne:".ui-resizable-ne",nw:".ui-resizable-nw"}:"e,s,se"),this._handles=y(),this._addedHandles=y(),this.handles.constructor===String)for("all"===this.handles&&(this.handles="n,e,s,w,se,sw,ne,nw"),i=this.handles.split( +","),this.handles={},e=0;e"),this._addClass(n,"ui-resizable-handle "+s),n.css({zIndex:o.zIndex}),this.handles[t]=".ui-resizable-"+t,this.element.children(this.handles[t]).length||(this.element.append(n),this._addedHandles=this._addedHandles.add(n));this._renderAxis=function(t){var e,i,s;for(e in t=t||this.element,this.handles)this.handles[e].constructor===String?this.handles[e]=this.element.children(this.handles[e]).first().show():(this.handles[e].jquery||this.handles[e].nodeType)&&(this.handles[e]=y(this.handles[e]),this._on(this.handles[e],{mousedown:h._mouseDown})),this.elementIsWrapper&&this.originalElement[0].nodeName.match(/^(textarea|input|select|button)$/i)&&(i=y(this.handles[e],this.element),s=/sw|ne|nw|se|n|s/.test(e)?i.outerHeight():i.outerWidth(),i=["padding",/ne|nw|n/.test(e)?"Top":/se|sw|s/.test(e)?"Bottom":/^e$/.test(e)?"Right":"Left"].join(""),t.css(i,s),this._proportionallyResize()),this._handles=this._handles.add( +this.handles[e])},this._renderAxis(this.element),this._handles=this._handles.add(this.element.find(".ui-resizable-handle")),this._handles.disableSelection(),this._handles.on("mouseover",function(){h.resizing||(this.className&&(n=this.className.match(/ui-resizable-(se|sw|ne|nw|n|e|s|w)/i)),h.axis=n&&n[1]?n[1]:"se")}),o.autoHide&&(this._handles.hide(),this._addClass("ui-resizable-autohide"))},_removeHandles:function(){this._addedHandles.remove()},_mouseCapture:function(t){var e,i,s=!1;for(e in this.handles)(i=y(this.handles[e])[0])!==t.target&&!y.contains(i,t.target)||(s=!0);return!this.options.disabled&&s},_mouseStart:function(t){var e,i,s=this.options,n=this.element;return this.resizing=!0,this._renderProxy(),e=this._num(this.helper.css("left")),i=this._num(this.helper.css("top")),s.containment&&(e+=y(s.containment).scrollLeft()||0,i+=y(s.containment).scrollTop()||0),this.offset=this.helper.offset(),this.position={left:e,top:i},this.size=this._helper?{width:this.helper.width(),height:this.helper.height()}:{ +width:n.width(),height:n.height()},this.originalSize=this._helper?{width:n.outerWidth(),height:n.outerHeight()}:{width:n.width(),height:n.height()},this.sizeDiff={width:n.outerWidth()-n.width(),height:n.outerHeight()-n.height()},this.originalPosition={left:e,top:i},this.originalMousePosition={left:t.pageX,top:t.pageY},this.aspectRatio="number"==typeof s.aspectRatio?s.aspectRatio:this.originalSize.width/this.originalSize.height||1,s=y(".ui-resizable-"+this.axis).css("cursor"),y("body").css("cursor","auto"===s?this.axis+"-resize":s),this._addClass("ui-resizable-resizing"),this._propagate("start",t),!0},_mouseDrag:function(t){var e=this.originalMousePosition,i=this.axis,s=t.pageX-e.left||0,e=t.pageY-e.top||0,i=this._change[i];return this._updatePrevProperties(),i&&(e=i.apply(this,[t,s,e]),this._updateVirtualBoundaries(t.shiftKey),(this._aspectRatio||t.shiftKey)&&(e=this._updateRatio(e,t)),e=this._respectSize(e,t),this._updateCache(e),this._propagate("resize",t),e=this._applyChanges(), +!this._helper&&this._proportionallyResizeElements.length&&this._proportionallyResize(),y.isEmptyObject(e)||(this._updatePrevProperties(),this._trigger("resize",t,this.ui()),this._applyChanges())),!1},_mouseStop:function(t){this.resizing=!1;var e,i,s,n=this.options,o=this;return this._helper&&(s=(e=(i=this._proportionallyResizeElements).length&&/textarea/i.test(i[0].nodeName))&&this._hasScroll(i[0],"left")?0:o.sizeDiff.height,i=e?0:o.sizeDiff.width,e={width:o.helper.width()-i,height:o.helper.height()-s},i=parseFloat(o.element.css("left"))+(o.position.left-o.originalPosition.left)||null,s=parseFloat(o.element.css("top"))+(o.position.top-o.originalPosition.top)||null,n.animate||this.element.css(y.extend(e,{top:s,left:i})),o.helper.height(o.size.height),o.helper.width(o.size.width),this._helper&&!n.animate&&this._proportionallyResize()),y("body").css("cursor","auto"),this._removeClass("ui-resizable-resizing"),this._propagate("stop",t),this._helper&&this.helper.remove(),!1},_updatePrevProperties:function(){ +this.prevPosition={top:this.position.top,left:this.position.left},this.prevSize={width:this.size.width,height:this.size.height}},_applyChanges:function(){var t={};return this.position.top!==this.prevPosition.top&&(t.top=this.position.top+"px"),this.position.left!==this.prevPosition.left&&(t.left=this.position.left+"px"),this.size.width!==this.prevSize.width&&(t.width=this.size.width+"px"),this.size.height!==this.prevSize.height&&(t.height=this.size.height+"px"),this.helper.css(t),t},_updateVirtualBoundaries:function(t){var e,i,s=this.options,n={minWidth:this._isNumber(s.minWidth)?s.minWidth:0,maxWidth:this._isNumber(s.maxWidth)?s.maxWidth:1/0,minHeight:this._isNumber(s.minHeight)?s.minHeight:0,maxHeight:this._isNumber(s.maxHeight)?s.maxHeight:1/0};(this._aspectRatio||t)&&(e=n.minHeight*this.aspectRatio,i=n.minWidth/this.aspectRatio,s=n.maxHeight*this.aspectRatio,t=n.maxWidth/this.aspectRatio,e>n.minWidth&&(n.minWidth=e),i>n.minHeight&&(n.minHeight=i),st.width,h=this._isNumber(t.height)&&e.minHeight&&e.minHeight>t.height,a=this.originalPosition.left+this.originalSize.width,r=this.originalPosition.top+this.originalSize.height +,l=/sw|nw|w/.test(i),i=/nw|ne|n/.test(i);return o&&(t.width=e.minWidth),h&&(t.height=e.minHeight),s&&(t.width=e.maxWidth),n&&(t.height=e.maxHeight),o&&l&&(t.left=a-e.minWidth),s&&l&&(t.left=a-e.maxWidth),h&&i&&(t.top=r-e.minHeight),n&&i&&(t.top=r-e.maxHeight),t.width||t.height||t.left||!t.top?t.width||t.height||t.top||!t.left||(t.left=null):t.top=null,t},_getPaddingPlusBorderDimensions:function(t){for(var e=0,i=[],s=[t.css("borderTopWidth"),t.css("borderRightWidth"),t.css("borderBottomWidth"),t.css("borderLeftWidth")],n=[t.css("paddingTop"),t.css("paddingRight"),t.css("paddingBottom"),t.css("paddingLeft")];e<4;e++)i[e]=parseFloat(s[e])||0,i[e]+=parseFloat(n[e])||0;return{height:i[0]+i[2],width:i[1]+i[3]}},_proportionallyResize:function(){if(this._proportionallyResizeElements.length)for(var t,e=0,i=this.helper||this.element;e").css({overflow:"hidden"}),this._addClass(this.helper,this._helper),this.helper.css({width:this.element.outerWidth(),height:this.element.outerHeight(),position:"absolute",left:this.elementOffset.left+"px",top:this.elementOffset.top+"px",zIndex:++e.zIndex}),this.helper.appendTo("body").disableSelection()):this.helper=this.element},_change:{e:function(t,e){return{width:this.originalSize.width+e}},w:function(t,e){var i=this.originalSize;return{left:this.originalPosition.left+e,width:i.width-e}},n:function(t,e,i){var s=this.originalSize;return{top:this.originalPosition.top+i,height:s.height-i}},s:function(t,e,i){return{height:this.originalSize.height+i}},se:function(t,e,i){return y.extend(this._change.s.apply(this,arguments),this._change.e.apply(this,[t,e,i]))},sw:function(t,e, +i){return y.extend(this._change.s.apply(this,arguments),this._change.w.apply(this,[t,e,i]))},ne:function(t,e,i){return y.extend(this._change.n.apply(this,arguments),this._change.e.apply(this,[t,e,i]))},nw:function(t,e,i){return y.extend(this._change.n.apply(this,arguments),this._change.w.apply(this,[t,e,i]))}},_propagate:function(t,e){y.ui.plugin.call(this,t,[e,this.ui()]),"resize"!==t&&this._trigger(t,e,this.ui())},plugins:{},ui:function(){return{originalElement:this.originalElement,element:this.element,helper:this.helper,position:this.position,size:this.size,originalSize:this.originalSize,originalPosition:this.originalPosition}}}),y.ui.plugin.add("resizable","animate",{stop:function(e){var i=y(this).resizable("instance"),t=i.options,s=i._proportionallyResizeElements,n=s.length&&/textarea/i.test(s[0].nodeName),o=n&&i._hasScroll(s[0],"left")?0:i.sizeDiff.height,h=n?0:i.sizeDiff.width,n={width:i.size.width-h,height:i.size.height-o},h=parseFloat(i.element.css("left"))+(i.position.left-i.originalPosition.left +)||null,o=parseFloat(i.element.css("top"))+(i.position.top-i.originalPosition.top)||null;i.element.animate(y.extend(n,o&&h?{top:o,left:h}:{}),{duration:t.animateDuration,easing:t.animateEasing,step:function(){var t={width:parseFloat(i.element.css("width")),height:parseFloat(i.element.css("height")),top:parseFloat(i.element.css("top")),left:parseFloat(i.element.css("left"))};s&&s.length&&y(s[0]).css({width:t.width,height:t.height}),i._updateCache(t),i._propagate("resize",e)}})}}),y.ui.plugin.add("resizable","containment",{start:function(){var i,s,n=y(this).resizable("instance"),t=n.options,e=n.element,o=t.containment,h=o instanceof y?o.get(0):/parent/.test(o)?e.parent().get(0):o;h&&(n.containerElement=y(h),/document/.test(o)||o===document?(n.containerOffset={left:0,top:0},n.containerPosition={left:0,top:0},n.parentData={element:y(document),left:0,top:0,width:y(document).width(),height:y(document).height()||document.body.parentNode.scrollHeight}):(i=y(h),s=[],y(["Top","Right","Left","Bottom"]).each(function(t,e +){s[t]=n._num(i.css("padding"+e))}),n.containerOffset=i.offset(),n.containerPosition=i.position(),n.containerSize={height:i.innerHeight()-s[3],width:i.innerWidth()-s[1]},t=n.containerOffset,e=n.containerSize.height,o=n.containerSize.width,o=n._hasScroll(h,"left")?h.scrollWidth:o,e=n._hasScroll(h)?h.scrollHeight:e,n.parentData={element:h,left:t.left,top:t.top,width:o,height:e}))},resize:function(t){var e=y(this).resizable("instance"),i=e.options,s=e.containerOffset,n=e.position,o=e._aspectRatio||t.shiftKey,h={top:0,left:0},a=e.containerElement,t=!0;a[0]!==document&&/static/.test(a.css("position"))&&(h=s),n.left<(e._helper?s.left:0)&&(e.size.width=e.size.width+(e._helper?e.position.left-s.left:e.position.left-h.left),o&&(e.size.height=e.size.width/e.aspectRatio,t=!1),e.position.left=i.helper?s.left:0),n.top<(e._helper?s.top:0)&&(e.size.height=e.size.height+(e._helper?e.position.top-s.top:e.position.top),o&&(e.size.width=e.size.height*e.aspectRatio,t=!1),e.position.top=e._helper?s.top:0), +i=e.containerElement.get(0)===e.element.parent().get(0),n=/relative|absolute/.test(e.containerElement.css("position")),i&&n?(e.offset.left=e.parentData.left+e.position.left,e.offset.top=e.parentData.top+e.position.top):(e.offset.left=e.element.offset().left,e.offset.top=e.element.offset().top),n=Math.abs(e.sizeDiff.width+(e._helper?e.offset.left-h.left:e.offset.left-s.left)),s=Math.abs(e.sizeDiff.height+(e._helper?e.offset.top-h.top:e.offset.top-s.top)),n+e.size.width>=e.parentData.width&&(e.size.width=e.parentData.width-n,o&&(e.size.height=e.size.width/e.aspectRatio,t=!1)),s+e.size.height>=e.parentData.height&&(e.size.height=e.parentData.height-s,o&&(e.size.width=e.size.height*e.aspectRatio,t=!1)),t||(e.position.left=e.prevPosition.left,e.position.top=e.prevPosition.top,e.size.width=e.prevSize.width,e.size.height=e.prevSize.height)},stop:function(){var t=y(this).resizable("instance"),e=t.options,i=t.containerOffset,s=t.containerPosition,n=t.containerElement,o=y(t.helper),h=o.offset(),a=o.outerWidth( +)-t.sizeDiff.width,o=o.outerHeight()-t.sizeDiff.height;t._helper&&!e.animate&&/relative/.test(n.css("position"))&&y(this).css({left:h.left-s.left-i.left,width:a,height:o}),t._helper&&!e.animate&&/static/.test(n.css("position"))&&y(this).css({left:h.left-s.left-i.left,width:a,height:o})}}),y.ui.plugin.add("resizable","alsoResize",{start:function(){var t=y(this).resizable("instance").options;y(t.alsoResize).each(function(){var t=y(this);t.data("ui-resizable-alsoresize",{width:parseFloat(t.width()),height:parseFloat(t.height()),left:parseFloat(t.css("left")),top:parseFloat(t.css("top"))})})},resize:function(t,i){var e=y(this).resizable("instance"),s=e.options,n=e.originalSize,o=e.originalPosition,h={height:e.size.height-n.height||0,width:e.size.width-n.width||0,top:e.position.top-o.top||0,left:e.position.left-o.left||0};y(s.alsoResize).each(function(){var t=y(this),s=y(this).data("ui-resizable-alsoresize"),n={},e=t.parents(i.originalElement[0]).length?["width","height"]:["width","height","top","left"];y.each(e, +function(t,e){var i=(s[e]||0)+(h[e]||0);i&&0<=i&&(n[e]=i||null)}),t.css(n)})},stop:function(){y(this).removeData("ui-resizable-alsoresize")}}),y.ui.plugin.add("resizable","ghost",{start:function(){var t=y(this).resizable("instance"),e=t.size;t.ghost=t.originalElement.clone(),t.ghost.css({opacity:.25,display:"block",position:"relative",height:e.height,width:e.width,margin:0,left:0,top:0}),t._addClass(t.ghost,"ui-resizable-ghost"),!1!==y.uiBackCompat&&"string"==typeof t.options.ghost&&t.ghost.addClass(this.options.ghost),t.ghost.appendTo(t.helper)},resize:function(){var t=y(this).resizable("instance");t.ghost&&t.ghost.css({position:"relative",height:t.size.height,width:t.size.width})},stop:function(){var t=y(this).resizable("instance");t.ghost&&t.helper&&t.helper.get(0).removeChild(t.ghost.get(0))}}),y.ui.plugin.add("resizable","grid",{resize:function(){var t,e=y(this).resizable("instance"),i=e.options,s=e.size,n=e.originalSize,o=e.originalPosition,h=e.axis,a="number"==typeof i.grid?[i.grid,i.grid]:i.grid,r=a[0 +]||1,l=a[1]||1,u=Math.round((s.width-n.width)/r)*r,p=Math.round((s.height-n.height)/l)*l,d=n.width+u,c=n.height+p,f=i.maxWidth&&i.maxWidthd,s=i.minHeight&&i.minHeight>c;i.grid=a,m&&(d+=r),s&&(c+=l),f&&(d-=r),g&&(c-=l),/^(se|s|e)$/.test(h)?(e.size.width=d,e.size.height=c):/^(ne)$/.test(h)?(e.size.width=d,e.size.height=c,e.position.top=o.top-p):/^(sw)$/.test(h)?(e.size.width=d,e.size.height=c,e.position.left=o.left-u):((c-l<=0||d-r<=0)&&(t=e._getPaddingPlusBorderDimensions(this)),0=f[g]?0:Math.min(f[g],n));!a&&1=f[g]?0:Math.min(f[g],n));!a&&1-1){targetElements.on(evt+EVENT_NAMESPACE,function elementToggle(event){$.powerTip.toggle(this,event)})}else{targetElements.on(evt+EVENT_NAMESPACE,function elementOpen(event){$.powerTip.show(this,event)})}});$.each(options.closeEvents,function(idx,evt){if($.inArray(evt,options.openEvents)<0){targetElements.on(evt+EVENT_NAMESPACE,function elementClose(event){$.powerTip.hide(this,!isMouseEvent(event))})}});targetElements.on("keydown"+EVENT_NAMESPACE,function elementKeyDown(event){if(event.keyCode===27){$.powerTip.hide(this,true)}})}return targetElements};$.fn.powerTip.defaults={fadeInTime:200,fadeOutTime:100,followMouse:false,popupId:"powerTip",popupClass:null,intentSensitivity:7,intentPollInterval:100,closeDelay:100,placement:"n",smartPlacement:false,offset:10,mouseOnToPopup:false,manual:false,openEvents:["mouseenter","focus"],closeEvents:["mouseleave","blur"]};$.fn.powerTip.smartPlacementLists={n:["n","ne","nw","s"],e:["e","ne","se","w","nw","sw","n","s","e"],s:["s","se","sw","n"],w:["w","nw","sw","e","ne","se","n","s","w"],nw:["nw","w","sw","n","s","se","nw"],ne:["ne","e","se","n","s","sw","ne"],sw:["sw","w","nw","s","n","ne","sw"],se:["se","e","ne","s","n","nw","se"],"nw-alt":["nw-alt","n","ne-alt","sw-alt","s","se-alt","w","e"],"ne-alt":["ne-alt","n","nw-alt","se-alt","s","sw-alt","e","w"],"sw-alt":["sw-alt","s","se-alt","nw-alt","n","ne-alt","w","e"],"se-alt":["se-alt","s","sw-alt","ne-alt","n","nw-alt","e","w"]};$.powerTip={show:function apiShowTip(element,event){if(isMouseEvent(event)){trackMouse(event);session.previousX=event.pageX;session.previousY=event.pageY;$(element).data(DATA_DISPLAYCONTROLLER).show()}else{$(element).first().data(DATA_DISPLAYCONTROLLER).show(true,true)}return element},reposition:function apiResetPosition(element){$(element).first().data(DATA_DISPLAYCONTROLLER).resetPosition();return element},hide:function apiCloseTip(element,immediate){var displayController;immediate=element?immediate:true;if(element){displayController=$(element).first().data(DATA_DISPLAYCONTROLLER)}else if(session.activeHover){displayController=session.activeHover.data(DATA_DISPLAYCONTROLLER)}if(displayController){displayController.hide(immediate)}return element},toggle:function apiToggle(element,event){if(session.activeHover&&session.activeHover.is(element)){$.powerTip.hide(element,!isMouseEvent(event))}else{$.powerTip.show(element,event)}return element}};$.powerTip.showTip=$.powerTip.show;$.powerTip.closeTip=$.powerTip.hide;function CSSCoordinates(){var me=this;me.top="auto";me.left="auto";me.right="auto";me.bottom="auto";me.set=function(property,value){if($.isNumeric(value)){me[property]=Math.round(value)}}}function DisplayController(element,options,tipController){var hoverTimer=null,myCloseDelay=null;function openTooltip(immediate,forceOpen){cancelTimer();if(!element.data(DATA_HASACTIVEHOVER)){if(!immediate){session.tipOpenImminent=true;hoverTimer=setTimeout(function intentDelay(){hoverTimer=null;checkForIntent()},options.intentPollInterval)}else{if(forceOpen){element.data(DATA_FORCEDOPEN,true)}closeAnyDelayed();tipController.showTip(element)}}else{cancelClose()}}function closeTooltip(disableDelay){if(myCloseDelay){myCloseDelay=session.closeDelayTimeout=clearTimeout(myCloseDelay);session.delayInProgress=false}cancelTimer();session.tipOpenImminent=false;if(element.data(DATA_HASACTIVEHOVER)){element.data(DATA_FORCEDOPEN,false);if(!disableDelay){session.delayInProgress=true;session.closeDelayTimeout=setTimeout(function closeDelay(){session.closeDelayTimeout=null;tipController.hideTip(element);session.delayInProgress=false;myCloseDelay=null},options.closeDelay);myCloseDelay=session.closeDelayTimeout}else{tipController.hideTip(element)}}}function checkForIntent(){var xDifference=Math.abs(session.previousX-session.currentX),yDifference=Math.abs(session.previousY-session.currentY),totalDifference=xDifference+yDifference;if(totalDifference",{id:options.popupId});if($body.length===0){$body=$("body")}$body.append(tipElement);session.tooltips=session.tooltips?session.tooltips.add(tipElement):tipElement}if(options.followMouse){if(!tipElement.data(DATA_HASMOUSEMOVE)){$document.on("mousemove"+EVENT_NAMESPACE,positionTipOnCursor);$window.on("scroll"+EVENT_NAMESPACE,positionTipOnCursor);tipElement.data(DATA_HASMOUSEMOVE,true)}}function beginShowTip(element){element.data(DATA_HASACTIVEHOVER,true);tipElement.queue(function queueTipInit(next){showTip(element);next()})}function showTip(element){var tipContent;if(!element.data(DATA_HASACTIVEHOVER)){return}if(session.isTipOpen){if(!session.isClosing){hideTip(session.activeHover)}tipElement.delay(100).queue(function queueTipAgain(next){showTip(element);next()});return}element.trigger("powerTipPreRender");tipContent=getTooltipContent(element);if(tipContent){tipElement.empty().append(tipContent)}else{return}element.trigger("powerTipRender");session.activeHover=element;session.isTipOpen=true;tipElement.data(DATA_MOUSEONTOTIP,options.mouseOnToPopup);tipElement.addClass(options.popupClass);if(!options.followMouse||element.data(DATA_FORCEDOPEN)){positionTipOnElement(element);session.isFixedTipOpen=true}else{positionTipOnCursor()}if(!element.data(DATA_FORCEDOPEN)&&!options.followMouse){$document.on("click"+EVENT_NAMESPACE,function documentClick(event){var target=event.target;if(target!==element[0]){if(options.mouseOnToPopup){if(target!==tipElement[0]&&!$.contains(tipElement[0],target)){$.powerTip.hide()}}else{$.powerTip.hide()}}})}if(options.mouseOnToPopup&&!options.manual){tipElement.on("mouseenter"+EVENT_NAMESPACE,function tipMouseEnter(){if(session.activeHover){session.activeHover.data(DATA_DISPLAYCONTROLLER).cancel()}});tipElement.on("mouseleave"+EVENT_NAMESPACE,function tipMouseLeave(){if(session.activeHover){session.activeHover.data(DATA_DISPLAYCONTROLLER).hide()}})}tipElement.fadeIn(options.fadeInTime,function fadeInCallback(){if(!session.desyncTimeout){session.desyncTimeout=setInterval(closeDesyncedTip,500)}element.trigger("powerTipOpen")})}function hideTip(element){session.isClosing=true;session.isTipOpen=false;session.desyncTimeout=clearInterval(session.desyncTimeout);element.data(DATA_HASACTIVEHOVER,false);element.data(DATA_FORCEDOPEN,false);$document.off("click"+EVENT_NAMESPACE);tipElement.off(EVENT_NAMESPACE);tipElement.fadeOut(options.fadeOutTime,function fadeOutCallback(){var coords=new CSSCoordinates;session.activeHover=null;session.isClosing=false;session.isFixedTipOpen=false;tipElement.removeClass();coords.set("top",session.currentY+options.offset);coords.set("left",session.currentX+options.offset);tipElement.css(coords);element.trigger("powerTipClose")})}function positionTipOnCursor(){var tipWidth,tipHeight,coords,collisions,collisionCount;if(!session.isFixedTipOpen&&(session.isTipOpen||session.tipOpenImminent&&tipElement.data(DATA_HASMOUSEMOVE))){tipWidth=tipElement.outerWidth();tipHeight=tipElement.outerHeight();coords=new CSSCoordinates;coords.set("top",session.currentY+options.offset);coords.set("left",session.currentX+options.offset);collisions=getViewportCollisions(coords,tipWidth,tipHeight);if(collisions!==Collision.none){collisionCount=countFlags(collisions);if(collisionCount===1){if(collisions===Collision.right){coords.set("left",session.scrollLeft+session.windowWidth-tipWidth)}else if(collisions===Collision.bottom){coords.set("top",session.scrollTop+session.windowHeight-tipHeight)}}else{coords.set("left",session.currentX-tipWidth-options.offset);coords.set("top",session.currentY-tipHeight-options.offset)}}tipElement.css(coords)}}function positionTipOnElement(element){var priorityList,finalPlacement;if(options.smartPlacement||options.followMouse&&element.data(DATA_FORCEDOPEN)){priorityList=$.fn.powerTip.smartPlacementLists[options.placement];$.each(priorityList,function(idx,pos){var collisions=getViewportCollisions(placeTooltip(element,pos),tipElement.outerWidth(),tipElement.outerHeight());finalPlacement=pos;return collisions!==Collision.none})}else{placeTooltip(element,options.placement);finalPlacement=options.placement}tipElement.removeClass("w nw sw e ne se n s w se-alt sw-alt ne-alt nw-alt");tipElement.addClass(finalPlacement)}function placeTooltip(element,placement){var iterationCount=0,tipWidth,tipHeight,coords=new CSSCoordinates;coords.set("top",0);coords.set("left",0);tipElement.css(coords);do{tipWidth=tipElement.outerWidth();tipHeight=tipElement.outerHeight();coords=placementCalculator.compute(element,placement,tipWidth,tipHeight,options.offset);tipElement.css(coords)}while(++iterationCount<=5&&(tipWidth!==tipElement.outerWidth()||tipHeight!==tipElement.outerHeight()));return coords}function closeDesyncedTip(){var isDesynced=false,hasDesyncableCloseEvent=$.grep(["mouseleave","mouseout","blur","focusout"],function(eventType){return $.inArray(eventType,options.closeEvents)!==-1}).length>0;if(session.isTipOpen&&!session.isClosing&&!session.delayInProgress&&hasDesyncableCloseEvent){if(session.activeHover.data(DATA_HASACTIVEHOVER)===false||session.activeHover.is(":disabled")){isDesynced=true}else if(!isMouseOver(session.activeHover)&&!session.activeHover.is(":focus")&&!session.activeHover.data(DATA_FORCEDOPEN)){if(tipElement.data(DATA_MOUSEONTOTIP)){if(!isMouseOver(tipElement)){isDesynced=true}}else{isDesynced=true}}if(isDesynced){hideTip(session.activeHover)}}}this.showTip=beginShowTip;this.hideTip=hideTip;this.resetPosition=positionTipOnElement}function isSvgElement(element){return Boolean(window.SVGElement&&element[0]instanceof SVGElement)}function isMouseEvent(event){return Boolean(event&&$.inArray(event.type,MOUSE_EVENTS)>-1&&typeof event.pageX==="number")}function initTracking(){if(!session.mouseTrackingActive){session.mouseTrackingActive=true;getViewportDimensions();$(getViewportDimensions);$document.on("mousemove"+EVENT_NAMESPACE,trackMouse);$window.on("resize"+EVENT_NAMESPACE,trackResize);$window.on("scroll"+EVENT_NAMESPACE,trackScroll)}}function getViewportDimensions(){session.scrollLeft=$window.scrollLeft();session.scrollTop=$window.scrollTop();session.windowWidth=$window.width();session.windowHeight=$window.height()}function trackResize(){session.windowWidth=$window.width();session.windowHeight=$window.height()}function trackScroll(){var x=$window.scrollLeft(),y=$window.scrollTop();if(x!==session.scrollLeft){session.currentX+=x-session.scrollLeft;session.scrollLeft=x}if(y!==session.scrollTop){session.currentY+=y-session.scrollTop;session.scrollTop=y}}function trackMouse(event){session.currentX=event.pageX;session.currentY=event.pageY}function isMouseOver(element){var elementPosition=element.offset(),elementBox=element[0].getBoundingClientRect(),elementWidth=elementBox.right-elementBox.left,elementHeight=elementBox.bottom-elementBox.top;return session.currentX>=elementPosition.left&&session.currentX<=elementPosition.left+elementWidth&&session.currentY>=elementPosition.top&&session.currentY<=elementPosition.top+elementHeight}function getTooltipContent(element){var tipText=element.data(DATA_POWERTIP),tipObject=element.data(DATA_POWERTIPJQ),tipTarget=element.data(DATA_POWERTIPTARGET),targetElement,content;if(tipText){if($.isFunction(tipText)){tipText=tipText.call(element[0])}content=tipText}else if(tipObject){if($.isFunction(tipObject)){tipObject=tipObject.call(element[0])}if(tipObject.length>0){content=tipObject.clone(true,true)}}else if(tipTarget){targetElement=$("#"+tipTarget);if(targetElement.length>0){content=targetElement.html()}}return content}function getViewportCollisions(coords,elementWidth,elementHeight){var viewportTop=session.scrollTop,viewportLeft=session.scrollLeft,viewportBottom=viewportTop+session.windowHeight,viewportRight=viewportLeft+session.windowWidth,collisions=Collision.none;if(coords.topviewportBottom||Math.abs(coords.bottom-session.windowHeight)>viewportBottom){collisions|=Collision.bottom}if(coords.leftviewportRight){collisions|=Collision.left}if(coords.left+elementWidth>viewportRight||coords.right-1){ +targetElements.on(evt+EVENT_NAMESPACE,function elementToggle(event){$.powerTip.toggle(this,event)})}else{targetElements.on(evt+EVENT_NAMESPACE,function elementOpen(event){$.powerTip.show(this,event)})}});$.each(options.closeEvents,function(idx,evt){if($.inArray(evt,options.openEvents)<0){targetElements.on(evt+EVENT_NAMESPACE,function elementClose(event){$.powerTip.hide(this,!isMouseEvent(event))})}});targetElements.on("keydown"+EVENT_NAMESPACE,function elementKeyDown(event){if(event.keyCode===27){$.powerTip.hide(this,true)}})}return targetElements};$.fn.powerTip.defaults={fadeInTime:200,fadeOutTime:100,followMouse:false,popupId:"powerTip",popupClass:null,intentSensitivity:7,intentPollInterval:100,closeDelay:100,placement:"n",smartPlacement:false,offset:10,mouseOnToPopup:false,manual:false,openEvents:["mouseenter","focus"],closeEvents:["mouseleave","blur"]};$.fn.powerTip.smartPlacementLists={n:["n","ne","nw","s"],e:["e","ne","se","w","nw","sw","n","s","e"],s:["s","se","sw","n"],w:["w","nw","sw","e","ne","se", +"n","s","w"],nw:["nw","w","sw","n","s","se","nw"],ne:["ne","e","se","n","s","sw","ne"],sw:["sw","w","nw","s","n","ne","sw"],se:["se","e","ne","s","n","nw","se"],"nw-alt":["nw-alt","n","ne-alt","sw-alt","s","se-alt","w","e"],"ne-alt":["ne-alt","n","nw-alt","se-alt","s","sw-alt","e","w"],"sw-alt":["sw-alt","s","se-alt","nw-alt","n","ne-alt","w","e"],"se-alt":["se-alt","s","sw-alt","ne-alt","n","nw-alt","e","w"]};$.powerTip={show:function apiShowTip(element,event){if(isMouseEvent(event)){trackMouse(event);session.previousX=event.pageX;session.previousY=event.pageY;$(element).data(DATA_DISPLAYCONTROLLER).show()}else{$(element).first().data(DATA_DISPLAYCONTROLLER).show(true,true)}return element},reposition:function apiResetPosition(element){$(element).first().data(DATA_DISPLAYCONTROLLER).resetPosition();return element},hide:function apiCloseTip(element,immediate){var displayController;immediate=element?immediate:true;if(element){displayController=$(element).first().data(DATA_DISPLAYCONTROLLER)}else if( +session.activeHover){displayController=session.activeHover.data(DATA_DISPLAYCONTROLLER)}if(displayController){displayController.hide(immediate)}return element},toggle:function apiToggle(element,event){if(session.activeHover&&session.activeHover.is(element)){$.powerTip.hide(element,!isMouseEvent(event))}else{$.powerTip.show(element,event)}return element}};$.powerTip.showTip=$.powerTip.show;$.powerTip.closeTip=$.powerTip.hide;function CSSCoordinates(){var me=this;me.top="auto";me.left="auto";me.right="auto";me.bottom="auto";me.set=function(property,value){if($.isNumeric(value)){me[property]=Math.round(value)}}}function DisplayController(element,options,tipController){var hoverTimer=null,myCloseDelay=null;function openTooltip(immediate,forceOpen){cancelTimer();if(!element.data(DATA_HASACTIVEHOVER)){if(!immediate){session.tipOpenImminent=true;hoverTimer=setTimeout(function intentDelay(){hoverTimer=null;checkForIntent()},options.intentPollInterval)}else{if(forceOpen){element.data(DATA_FORCEDOPEN,true)} +closeAnyDelayed();tipController.showTip(element)}}else{cancelClose()}}function closeTooltip(disableDelay){if(myCloseDelay){myCloseDelay=session.closeDelayTimeout=clearTimeout(myCloseDelay);session.delayInProgress=false}cancelTimer();session.tipOpenImminent=false;if(element.data(DATA_HASACTIVEHOVER)){element.data(DATA_FORCEDOPEN,false);if(!disableDelay){session.delayInProgress=true;session.closeDelayTimeout=setTimeout(function closeDelay(){session.closeDelayTimeout=null;tipController.hideTip(element);session.delayInProgress=false;myCloseDelay=null},options.closeDelay);myCloseDelay=session.closeDelayTimeout}else{tipController.hideTip(element)}}}function checkForIntent(){var xDifference=Math.abs(session.previousX-session.currentX),yDifference=Math.abs(session.previousY-session.currentY),totalDifference=xDifference+yDifference;if(totalDifference",{id:options.popupId});if($body.length===0){$body=$("body")}$body.append(tipElement);session.tooltips=session.tooltips?session.tooltips.add(tipElement):tipElement}if(options.followMouse){if(!tipElement.data(DATA_HASMOUSEMOVE)){$document.on("mousemove"+EVENT_NAMESPACE,positionTipOnCursor);$window.on("scroll"+EVENT_NAMESPACE,positionTipOnCursor);tipElement.data(DATA_HASMOUSEMOVE,true)}}function beginShowTip(element){element.data(DATA_HASACTIVEHOVER,true);tipElement.queue(function queueTipInit(next){showTip(element);next()})}function showTip(element){var tipContent;if(!element.data(DATA_HASACTIVEHOVER)){return}if( +session.isTipOpen){if(!session.isClosing){hideTip(session.activeHover)}tipElement.delay(100).queue(function queueTipAgain(next){showTip(element);next()});return}element.trigger("powerTipPreRender");tipContent=getTooltipContent(element);if(tipContent){tipElement.empty().append(tipContent)}else{return}element.trigger("powerTipRender");session.activeHover=element;session.isTipOpen=true;tipElement.data(DATA_MOUSEONTOTIP,options.mouseOnToPopup);tipElement.addClass(options.popupClass);if(!options.followMouse||element.data(DATA_FORCEDOPEN)){positionTipOnElement(element);session.isFixedTipOpen=true}else{positionTipOnCursor()}if(!element.data(DATA_FORCEDOPEN)&&!options.followMouse){$document.on("click"+EVENT_NAMESPACE,function documentClick(event){var target=event.target;if(target!==element[0]){if(options.mouseOnToPopup){if(target!==tipElement[0]&&!$.contains(tipElement[0],target)){$.powerTip.hide()}}else{$.powerTip.hide()}}})}if(options.mouseOnToPopup&&!options.manual){tipElement.on("mouseenter"+EVENT_NAMESPACE, +function tipMouseEnter(){if(session.activeHover){session.activeHover.data(DATA_DISPLAYCONTROLLER).cancel()}});tipElement.on("mouseleave"+EVENT_NAMESPACE,function tipMouseLeave(){if(session.activeHover){session.activeHover.data(DATA_DISPLAYCONTROLLER).hide()}})}tipElement.fadeIn(options.fadeInTime,function fadeInCallback(){if(!session.desyncTimeout){session.desyncTimeout=setInterval(closeDesyncedTip,500)}element.trigger("powerTipOpen")})}function hideTip(element){session.isClosing=true;session.isTipOpen=false;session.desyncTimeout=clearInterval(session.desyncTimeout);element.data(DATA_HASACTIVEHOVER,false);element.data(DATA_FORCEDOPEN,false);$document.off("click"+EVENT_NAMESPACE);tipElement.off(EVENT_NAMESPACE);tipElement.fadeOut(options.fadeOutTime,function fadeOutCallback(){var coords=new CSSCoordinates;session.activeHover=null;session.isClosing=false;session.isFixedTipOpen=false;tipElement.removeClass();coords.set("top",session.currentY+options.offset);coords.set("left",session.currentX+options.offset); +tipElement.css(coords);element.trigger("powerTipClose")})}function positionTipOnCursor(){var tipWidth,tipHeight,coords,collisions,collisionCount;if(!session.isFixedTipOpen&&(session.isTipOpen||session.tipOpenImminent&&tipElement.data(DATA_HASMOUSEMOVE))){tipWidth=tipElement.outerWidth();tipHeight=tipElement.outerHeight();coords=new CSSCoordinates;coords.set("top",session.currentY+options.offset);coords.set("left",session.currentX+options.offset);collisions=getViewportCollisions(coords,tipWidth,tipHeight);if(collisions!==Collision.none){collisionCount=countFlags(collisions);if(collisionCount===1){if(collisions===Collision.right){coords.set("left",session.scrollLeft+session.windowWidth-tipWidth)}else if(collisions===Collision.bottom){coords.set("top",session.scrollTop+session.windowHeight-tipHeight)}}else{coords.set("left",session.currentX-tipWidth-options.offset);coords.set("top",session.currentY-tipHeight-options.offset)}}tipElement.css(coords)}}function positionTipOnElement(element){var priorityList, +finalPlacement;if(options.smartPlacement||options.followMouse&&element.data(DATA_FORCEDOPEN)){priorityList=$.fn.powerTip.smartPlacementLists[options.placement];$.each(priorityList,function(idx,pos){var collisions=getViewportCollisions(placeTooltip(element,pos),tipElement.outerWidth(),tipElement.outerHeight());finalPlacement=pos;return collisions!==Collision.none})}else{placeTooltip(element,options.placement);finalPlacement=options.placement}tipElement.removeClass("w nw sw e ne se n s w se-alt sw-alt ne-alt nw-alt");tipElement.addClass(finalPlacement)}function placeTooltip(element,placement){var iterationCount=0,tipWidth,tipHeight,coords=new CSSCoordinates;coords.set("top",0);coords.set("left",0);tipElement.css(coords);do{tipWidth=tipElement.outerWidth();tipHeight=tipElement.outerHeight();coords=placementCalculator.compute(element,placement,tipWidth,tipHeight,options.offset);tipElement.css(coords)}while(++iterationCount<=5&&(tipWidth!==tipElement.outerWidth()||tipHeight!==tipElement.outerHeight())); +return coords}function closeDesyncedTip(){var isDesynced=false,hasDesyncableCloseEvent=$.grep(["mouseleave","mouseout","blur","focusout"],function(eventType){return $.inArray(eventType,options.closeEvents)!==-1}).length>0;if(session.isTipOpen&&!session.isClosing&&!session.delayInProgress&&hasDesyncableCloseEvent){if(session.activeHover.data(DATA_HASACTIVEHOVER)===false||session.activeHover.is(":disabled")){isDesynced=true}else if(!isMouseOver(session.activeHover)&&!session.activeHover.is(":focus")&&!session.activeHover.data(DATA_FORCEDOPEN)){if(tipElement.data(DATA_MOUSEONTOTIP)){if(!isMouseOver(tipElement)){isDesynced=true}}else{isDesynced=true}}if(isDesynced){hideTip(session.activeHover)}}}this.showTip=beginShowTip;this.hideTip=hideTip;this.resetPosition=positionTipOnElement}function isSvgElement(element){return Boolean(window.SVGElement&&element[0]instanceof SVGElement)}function isMouseEvent(event){return Boolean(event&&$.inArray(event.type,MOUSE_EVENTS)>-1&&typeof event.pageX==="number")} +function initTracking(){if(!session.mouseTrackingActive){session.mouseTrackingActive=true;getViewportDimensions();$(getViewportDimensions);$document.on("mousemove"+EVENT_NAMESPACE,trackMouse);$window.on("resize"+EVENT_NAMESPACE,trackResize);$window.on("scroll"+EVENT_NAMESPACE,trackScroll)}}function getViewportDimensions(){session.scrollLeft=$window.scrollLeft();session.scrollTop=$window.scrollTop();session.windowWidth=$window.width();session.windowHeight=$window.height()}function trackResize(){session.windowWidth=$window.width();session.windowHeight=$window.height()}function trackScroll(){var x=$window.scrollLeft(),y=$window.scrollTop();if(x!==session.scrollLeft){session.currentX+=x-session.scrollLeft;session.scrollLeft=x}if(y!==session.scrollTop){session.currentY+=y-session.scrollTop;session.scrollTop=y}}function trackMouse(event){session.currentX=event.pageX;session.currentY=event.pageY}function isMouseOver(element){var elementPosition=element.offset(),elementBox=element[0].getBoundingClientRect(), +elementWidth=elementBox.right-elementBox.left,elementHeight=elementBox.bottom-elementBox.top;return session.currentX>=elementPosition.left&&session.currentX<=elementPosition.left+elementWidth&&session.currentY>=elementPosition.top&&session.currentY<=elementPosition.top+elementHeight}function getTooltipContent(element){var tipText=element.data(DATA_POWERTIP),tipObject=element.data(DATA_POWERTIPJQ),tipTarget=element.data(DATA_POWERTIPTARGET),targetElement,content;if(tipText){if($.isFunction(tipText)){tipText=tipText.call(element[0])}content=tipText}else if(tipObject){if($.isFunction(tipObject)){tipObject=tipObject.call(element[0])}if(tipObject.length>0){content=tipObject.clone(true,true)}}else if(tipTarget){targetElement=$("#"+tipTarget);if(targetElement.length>0){content=targetElement.html()}}return content}function getViewportCollisions(coords,elementWidth,elementHeight){var viewportTop=session.scrollTop,viewportLeft=session.scrollLeft,viewportBottom=viewportTop+session.windowHeight, +viewportRight=viewportLeft+session.windowWidth,collisions=Collision.none;if(coords.topviewportBottom||Math.abs(coords.bottom-session.windowHeight)>viewportBottom){collisions|=Collision.bottom}if(coords.leftviewportRight){collisions|=Collision.left}if(coords.left+elementWidth>viewportRight||coords.right1)){a.preventDefault();var c=a.originalEvent.changedTouches[0],d=document.createEvent("MouseEvents");d.initMouseEvent(b,!0,!0,window,1,c.screenX,c.screenY,c.clientX,c.clientY,!1,!1,!1,!1,0,null),a.target.dispatchEvent(d)}}if(a.support.touch="ontouchend"in document,a.support.touch){var e,b=a.ui.mouse.prototype,c=b._mouseInit,d=b._mouseDestroy;b._touchStart=function(a){var b=this;!e&&b._mouseCapture(a.originalEvent.changedTouches[0])&&(e=!0,b._touchMoved=!1,f(a,"mouseover"),f(a,"mousemove"),f(a,"mousedown"))},b._touchMove=function(a){e&&(this._touchMoved=!0,f(a,"mousemove"))},b._touchEnd=function(a){e&&(f(a,"mouseup"),f(a,"mouseout"),this._touchMoved||f(a,"click"),e=!1)},b._mouseInit=function(){var b=this;b.element.bind({touchstart:a.proxy(b,"_touchStart"),touchmove:a.proxy(b,"_touchMove"),touchend:a.proxy(b,"_touchEnd")}),c.call(b)},b._mouseDestroy=function(){var b=this;b.element.unbind({touchstart:a.proxy(b,"_touchStart"),touchmove:a.proxy(b,"_touchMove"),touchend:a.proxy(b,"_touchEnd")}),d.call(b)}}}(jQuery);/*! SmartMenus jQuery Plugin - v1.1.0 - September 17, 2017 + */!function(a){function f(a,b){if(!(a.originalEvent.touches.length>1)){a.preventDefault();var c=a.originalEvent.changedTouches[0],d=document.createEvent("MouseEvents");d.initMouseEvent(b,!0,!0,window,1,c.screenX,c.screenY,c.clientX,c.clientY,!1,!1,!1,!1,0,null),a.target.dispatchEvent(d)}}if(a.support.touch="ontouchend"in document,a.support.touch){var e,b=a.ui.mouse.prototype,c=b._mouseInit,d=b._mouseDestroy;b._touchStart=function(a){var b=this;!e&&b._mouseCapture(a.originalEvent.changedTouches[0])&&(e=!0,b._touchMoved=!1,f(a,"mouseover"),f(a,"mousemove"),f(a,"mousedown"))},b._touchMove=function(a){e&&(this._touchMoved=!0,f(a,"mousemove"))},b._touchEnd=function(a){e&&(f(a,"mouseup"),f(a,"mouseout"),this._touchMoved||f(a,"click"),e=!1)},b._mouseInit=function(){var b=this;b.element.bind({touchstart:a.proxy(b,"_touchStart"),touchmove:a.proxy(b,"_touchMove"),touchend:a.proxy(b,"_touchEnd")}),c.call(b)},b._mouseDestroy=function(){var b=this;b.element.unbind({touchstart:a.proxy(b,"_touchStart"),touchmove:a.proxy(b, +"_touchMove"),touchend:a.proxy(b,"_touchEnd")}),d.call(b)}}}(jQuery); +/*! SmartMenus jQuery Plugin - v1.1.0 - September 17, 2017 * http://www.smartmenus.org/ - * Copyright Vasil Dinkov, Vadikom Web Ltd. http://vadikom.com; Licensed MIT */(function(t){"function"==typeof define&&define.amd?define(["jquery"],t):"object"==typeof module&&"object"==typeof module.exports?module.exports=t(require("jquery")):t(jQuery)})(function($){function initMouseDetection(t){var e=".smartmenus_mouse";if(mouseDetectionEnabled||t)mouseDetectionEnabled&&t&&($(document).off(e),mouseDetectionEnabled=!1);else{var i=!0,s=null,o={mousemove:function(t){var e={x:t.pageX,y:t.pageY,timeStamp:(new Date).getTime()};if(s){var o=Math.abs(s.x-e.x),a=Math.abs(s.y-e.y);if((o>0||a>0)&&2>=o&&2>=a&&300>=e.timeStamp-s.timeStamp&&(mouse=!0,i)){var n=$(t.target).closest("a");n.is("a")&&$.each(menuTrees,function(){return $.contains(this.$root[0],n[0])?(this.itemEnter({currentTarget:n[0]}),!1):void 0}),i=!1}}s=e}};o[touchEvents?"touchstart":"pointerover pointermove pointerout MSPointerOver MSPointerMove MSPointerOut"]=function(t){isTouchEvent(t.originalEvent)&&(mouse=!1)},$(document).on(getEventsNS(o,e)),mouseDetectionEnabled=!0}}function isTouchEvent(t){return!/^(4|mouse)$/.test(t.pointerType)}function getEventsNS(t,e){e||(e="");var i={};for(var s in t)i[s.split(" ").join(e+" ")+e]=t[s];return i}var menuTrees=[],mouse=!1,touchEvents="ontouchstart"in window,mouseDetectionEnabled=!1,requestAnimationFrame=window.requestAnimationFrame||function(t){return setTimeout(t,1e3/60)},cancelAnimationFrame=window.cancelAnimationFrame||function(t){clearTimeout(t)},canAnimate=!!$.fn.animate;return $.SmartMenus=function(t,e){this.$root=$(t),this.opts=e,this.rootId="",this.accessIdPrefix="",this.$subArrow=null,this.activatedItems=[],this.visibleSubMenus=[],this.showTimeout=0,this.hideTimeout=0,this.scrollTimeout=0,this.clickActivated=!1,this.focusActivated=!1,this.zIndexInc=0,this.idInc=0,this.$firstLink=null,this.$firstSub=null,this.disabled=!1,this.$disableOverlay=null,this.$touchScrollingSub=null,this.cssTransforms3d="perspective"in t.style||"webkitPerspective"in t.style,this.wasCollapsible=!1,this.init()},$.extend($.SmartMenus,{hideAll:function(){$.each(menuTrees,function(){this.menuHideAll()})},destroy:function(){for(;menuTrees.length;)menuTrees[0].destroy();initMouseDetection(!0)},prototype:{init:function(t){var e=this;if(!t){menuTrees.push(this),this.rootId=((new Date).getTime()+Math.random()+"").replace(/\D/g,""),this.accessIdPrefix="sm-"+this.rootId+"-",this.$root.hasClass("sm-rtl")&&(this.opts.rightToLeftSubMenus=!0);var i=".smartmenus";this.$root.data("smartmenus",this).attr("data-smartmenus-id",this.rootId).dataSM("level",1).on(getEventsNS({"mouseover focusin":$.proxy(this.rootOver,this),"mouseout focusout":$.proxy(this.rootOut,this),keydown:$.proxy(this.rootKeyDown,this)},i)).on(getEventsNS({mouseenter:$.proxy(this.itemEnter,this),mouseleave:$.proxy(this.itemLeave,this),mousedown:$.proxy(this.itemDown,this),focus:$.proxy(this.itemFocus,this),blur:$.proxy(this.itemBlur,this),click:$.proxy(this.itemClick,this)},i),"a"),i+=this.rootId,this.opts.hideOnClick&&$(document).on(getEventsNS({touchstart:$.proxy(this.docTouchStart,this),touchmove:$.proxy(this.docTouchMove,this),touchend:$.proxy(this.docTouchEnd,this),click:$.proxy(this.docClick,this)},i)),$(window).on(getEventsNS({"resize orientationchange":$.proxy(this.winResize,this)},i)),this.opts.subIndicators&&(this.$subArrow=$("").addClass("sub-arrow"),this.opts.subIndicatorsText&&this.$subArrow.html(this.opts.subIndicatorsText)),initMouseDetection()}if(this.$firstSub=this.$root.find("ul").each(function(){e.menuInit($(this))}).eq(0),this.$firstLink=this.$root.find("a").eq(0),this.opts.markCurrentItem){var s=/(index|default)\.[^#\?\/]*/i,o=/#.*/,a=window.location.href.replace(s,""),n=a.replace(o,"");this.$root.find("a").each(function(){var t=this.href.replace(s,""),i=$(this);(t==a||t==n)&&(i.addClass("current"),e.opts.markCurrentTree&&i.parentsUntil("[data-smartmenus-id]","ul").each(function(){$(this).dataSM("parent-a").addClass("current")}))})}this.wasCollapsible=this.isCollapsible()},destroy:function(t){if(!t){var e=".smartmenus";this.$root.removeData("smartmenus").removeAttr("data-smartmenus-id").removeDataSM("level").off(e),e+=this.rootId,$(document).off(e),$(window).off(e),this.opts.subIndicators&&(this.$subArrow=null)}this.menuHideAll();var i=this;this.$root.find("ul").each(function(){var t=$(this);t.dataSM("scroll-arrows")&&t.dataSM("scroll-arrows").remove(),t.dataSM("shown-before")&&((i.opts.subMenusMinWidth||i.opts.subMenusMaxWidth)&&t.css({width:"",minWidth:"",maxWidth:""}).removeClass("sm-nowrap"),t.dataSM("scroll-arrows")&&t.dataSM("scroll-arrows").remove(),t.css({zIndex:"",top:"",left:"",marginLeft:"",marginTop:"",display:""})),0==(t.attr("id")||"").indexOf(i.accessIdPrefix)&&t.removeAttr("id")}).removeDataSM("in-mega").removeDataSM("shown-before").removeDataSM("scroll-arrows").removeDataSM("parent-a").removeDataSM("level").removeDataSM("beforefirstshowfired").removeAttr("role").removeAttr("aria-hidden").removeAttr("aria-labelledby").removeAttr("aria-expanded"),this.$root.find("a.has-submenu").each(function(){var t=$(this);0==t.attr("id").indexOf(i.accessIdPrefix)&&t.removeAttr("id")}).removeClass("has-submenu").removeDataSM("sub").removeAttr("aria-haspopup").removeAttr("aria-controls").removeAttr("aria-expanded").closest("li").removeDataSM("sub"),this.opts.subIndicators&&this.$root.find("span.sub-arrow").remove(),this.opts.markCurrentItem&&this.$root.find("a.current").removeClass("current"),t||(this.$root=null,this.$firstLink=null,this.$firstSub=null,this.$disableOverlay&&(this.$disableOverlay.remove(),this.$disableOverlay=null),menuTrees.splice($.inArray(this,menuTrees),1))},disable:function(t){if(!this.disabled){if(this.menuHideAll(),!t&&!this.opts.isPopup&&this.$root.is(":visible")){var e=this.$root.offset();this.$disableOverlay=$('
').css({position:"absolute",top:e.top,left:e.left,width:this.$root.outerWidth(),height:this.$root.outerHeight(),zIndex:this.getStartZIndex(!0),opacity:0}).appendTo(document.body)}this.disabled=!0}},docClick:function(t){return this.$touchScrollingSub?(this.$touchScrollingSub=null,void 0):((this.visibleSubMenus.length&&!$.contains(this.$root[0],t.target)||$(t.target).closest("a").length)&&this.menuHideAll(),void 0)},docTouchEnd:function(){if(this.lastTouch){if(!(!this.visibleSubMenus.length||void 0!==this.lastTouch.x2&&this.lastTouch.x1!=this.lastTouch.x2||void 0!==this.lastTouch.y2&&this.lastTouch.y1!=this.lastTouch.y2||this.lastTouch.target&&$.contains(this.$root[0],this.lastTouch.target))){this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0);var t=this;this.hideTimeout=setTimeout(function(){t.menuHideAll()},350)}this.lastTouch=null}},docTouchMove:function(t){if(this.lastTouch){var e=t.originalEvent.touches[0];this.lastTouch.x2=e.pageX,this.lastTouch.y2=e.pageY}},docTouchStart:function(t){var e=t.originalEvent.touches[0];this.lastTouch={x1:e.pageX,y1:e.pageY,target:e.target}},enable:function(){this.disabled&&(this.$disableOverlay&&(this.$disableOverlay.remove(),this.$disableOverlay=null),this.disabled=!1)},getClosestMenu:function(t){for(var e=$(t).closest("ul");e.dataSM("in-mega");)e=e.parent().closest("ul");return e[0]||null},getHeight:function(t){return this.getOffset(t,!0)},getOffset:function(t,e){var i;"none"==t.css("display")&&(i={position:t[0].style.position,visibility:t[0].style.visibility},t.css({position:"absolute",visibility:"hidden"}).show());var s=t[0].getBoundingClientRect&&t[0].getBoundingClientRect(),o=s&&(e?s.height||s.bottom-s.top:s.width||s.right-s.left);return o||0===o||(o=e?t[0].offsetHeight:t[0].offsetWidth),i&&t.hide().css(i),o},getStartZIndex:function(t){var e=parseInt(this[t?"$root":"$firstSub"].css("z-index"));return!t&&isNaN(e)&&(e=parseInt(this.$root.css("z-index"))),isNaN(e)?1:e},getTouchPoint:function(t){return t.touches&&t.touches[0]||t.changedTouches&&t.changedTouches[0]||t},getViewport:function(t){var e=t?"Height":"Width",i=document.documentElement["client"+e],s=window["inner"+e];return s&&(i=Math.min(i,s)),i},getViewportHeight:function(){return this.getViewport(!0)},getViewportWidth:function(){return this.getViewport()},getWidth:function(t){return this.getOffset(t)},handleEvents:function(){return!this.disabled&&this.isCSSOn()},handleItemEvents:function(t){return this.handleEvents()&&!this.isLinkInMegaMenu(t)},isCollapsible:function(){return"static"==this.$firstSub.css("position")},isCSSOn:function(){return"inline"!=this.$firstLink.css("display")},isFixed:function(){var t="fixed"==this.$root.css("position");return t||this.$root.parentsUntil("body").each(function(){return"fixed"==$(this).css("position")?(t=!0,!1):void 0}),t},isLinkInMegaMenu:function(t){return $(this.getClosestMenu(t[0])).hasClass("mega-menu")},isTouchMode:function(){return!mouse||this.opts.noMouseOver||this.isCollapsible()},itemActivate:function(t,e){var i=t.closest("ul"),s=i.dataSM("level");if(s>1&&(!this.activatedItems[s-2]||this.activatedItems[s-2][0]!=i.dataSM("parent-a")[0])){var o=this;$(i.parentsUntil("[data-smartmenus-id]","ul").get().reverse()).add(i).each(function(){o.itemActivate($(this).dataSM("parent-a"))})}if((!this.isCollapsible()||e)&&this.menuHideSubMenus(this.activatedItems[s-1]&&this.activatedItems[s-1][0]==t[0]?s:s-1),this.activatedItems[s-1]=t,this.$root.triggerHandler("activate.smapi",t[0])!==!1){var a=t.dataSM("sub");a&&(this.isTouchMode()||!this.opts.showOnClick||this.clickActivated)&&this.menuShow(a)}},itemBlur:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&this.$root.triggerHandler("blur.smapi",e[0])},itemClick:function(t){var e=$(t.currentTarget);if(this.handleItemEvents(e)){if(this.$touchScrollingSub&&this.$touchScrollingSub[0]==e.closest("ul")[0])return this.$touchScrollingSub=null,t.stopPropagation(),!1;if(this.$root.triggerHandler("click.smapi",e[0])===!1)return!1;var i=$(t.target).is(".sub-arrow"),s=e.dataSM("sub"),o=s?2==s.dataSM("level"):!1,a=this.isCollapsible(),n=/toggle$/.test(this.opts.collapsibleBehavior),r=/link$/.test(this.opts.collapsibleBehavior),h=/^accordion/.test(this.opts.collapsibleBehavior);if(s&&!s.is(":visible")){if((!r||!a||i)&&(this.opts.showOnClick&&o&&(this.clickActivated=!0),this.itemActivate(e,h),s.is(":visible")))return this.focusActivated=!0,!1}else if(a&&(n||i))return this.itemActivate(e,h),this.menuHide(s),n&&(this.focusActivated=!1),!1;return this.opts.showOnClick&&o||e.hasClass("disabled")||this.$root.triggerHandler("select.smapi",e[0])===!1?!1:void 0}},itemDown:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&e.dataSM("mousedown",!0)},itemEnter:function(t){var e=$(t.currentTarget);if(this.handleItemEvents(e)){if(!this.isTouchMode()){this.showTimeout&&(clearTimeout(this.showTimeout),this.showTimeout=0);var i=this;this.showTimeout=setTimeout(function(){i.itemActivate(e)},this.opts.showOnClick&&1==e.closest("ul").dataSM("level")?1:this.opts.showTimeout)}this.$root.triggerHandler("mouseenter.smapi",e[0])}},itemFocus:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&(!this.focusActivated||this.isTouchMode()&&e.dataSM("mousedown")||this.activatedItems.length&&this.activatedItems[this.activatedItems.length-1][0]==e[0]||this.itemActivate(e,!0),this.$root.triggerHandler("focus.smapi",e[0]))},itemLeave:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&(this.isTouchMode()||(e[0].blur(),this.showTimeout&&(clearTimeout(this.showTimeout),this.showTimeout=0)),e.removeDataSM("mousedown"),this.$root.triggerHandler("mouseleave.smapi",e[0]))},menuHide:function(t){if(this.$root.triggerHandler("beforehide.smapi",t[0])!==!1&&(canAnimate&&t.stop(!0,!0),"none"!=t.css("display"))){var e=function(){t.css("z-index","")};this.isCollapsible()?canAnimate&&this.opts.collapsibleHideFunction?this.opts.collapsibleHideFunction.call(this,t,e):t.hide(this.opts.collapsibleHideDuration,e):canAnimate&&this.opts.hideFunction?this.opts.hideFunction.call(this,t,e):t.hide(this.opts.hideDuration,e),t.dataSM("scroll")&&(this.menuScrollStop(t),t.css({"touch-action":"","-ms-touch-action":"","-webkit-transform":"",transform:""}).off(".smartmenus_scroll").removeDataSM("scroll").dataSM("scroll-arrows").hide()),t.dataSM("parent-a").removeClass("highlighted").attr("aria-expanded","false"),t.attr({"aria-expanded":"false","aria-hidden":"true"});var i=t.dataSM("level");this.activatedItems.splice(i-1,1),this.visibleSubMenus.splice($.inArray(t,this.visibleSubMenus),1),this.$root.triggerHandler("hide.smapi",t[0])}},menuHideAll:function(){this.showTimeout&&(clearTimeout(this.showTimeout),this.showTimeout=0);for(var t=this.opts.isPopup?1:0,e=this.visibleSubMenus.length-1;e>=t;e--)this.menuHide(this.visibleSubMenus[e]);this.opts.isPopup&&(canAnimate&&this.$root.stop(!0,!0),this.$root.is(":visible")&&(canAnimate&&this.opts.hideFunction?this.opts.hideFunction.call(this,this.$root):this.$root.hide(this.opts.hideDuration))),this.activatedItems=[],this.visibleSubMenus=[],this.clickActivated=!1,this.focusActivated=!1,this.zIndexInc=0,this.$root.triggerHandler("hideAll.smapi")},menuHideSubMenus:function(t){for(var e=this.activatedItems.length-1;e>=t;e--){var i=this.activatedItems[e].dataSM("sub");i&&this.menuHide(i)}},menuInit:function(t){if(!t.dataSM("in-mega")){t.hasClass("mega-menu")&&t.find("ul").dataSM("in-mega",!0);for(var e=2,i=t[0];(i=i.parentNode.parentNode)!=this.$root[0];)e++;var s=t.prevAll("a").eq(-1);s.length||(s=t.prevAll().find("a").eq(-1)),s.addClass("has-submenu").dataSM("sub",t),t.dataSM("parent-a",s).dataSM("level",e).parent().dataSM("sub",t);var o=s.attr("id")||this.accessIdPrefix+ ++this.idInc,a=t.attr("id")||this.accessIdPrefix+ ++this.idInc;s.attr({id:o,"aria-haspopup":"true","aria-controls":a,"aria-expanded":"false"}),t.attr({id:a,role:"group","aria-hidden":"true","aria-labelledby":o,"aria-expanded":"false"}),this.opts.subIndicators&&s[this.opts.subIndicatorsPos](this.$subArrow.clone())}},menuPosition:function(t){var e,i,s=t.dataSM("parent-a"),o=s.closest("li"),a=o.parent(),n=t.dataSM("level"),r=this.getWidth(t),h=this.getHeight(t),u=s.offset(),l=u.left,c=u.top,d=this.getWidth(s),m=this.getHeight(s),p=$(window),f=p.scrollLeft(),v=p.scrollTop(),b=this.getViewportWidth(),S=this.getViewportHeight(),g=a.parent().is("[data-sm-horizontal-sub]")||2==n&&!a.hasClass("sm-vertical"),M=this.opts.rightToLeftSubMenus&&!o.is("[data-sm-reverse]")||!this.opts.rightToLeftSubMenus&&o.is("[data-sm-reverse]"),w=2==n?this.opts.mainMenuSubOffsetX:this.opts.subMenusSubOffsetX,T=2==n?this.opts.mainMenuSubOffsetY:this.opts.subMenusSubOffsetY;if(g?(e=M?d-r-w:w,i=this.opts.bottomToTopSubMenus?-h-T:m+T):(e=M?w-r:d-w,i=this.opts.bottomToTopSubMenus?m-T-h:T),this.opts.keepInViewport){var y=l+e,I=c+i;if(M&&f>y?e=g?f-y+e:d-w:!M&&y+r>f+b&&(e=g?f+b-r-y+e:w-r),g||(S>h&&I+h>v+S?i+=v+S-h-I:(h>=S||v>I)&&(i+=v-I)),g&&(I+h>v+S+.49||v>I)||!g&&h>S+.49){var x=this;t.dataSM("scroll-arrows")||t.dataSM("scroll-arrows",$([$('')[0],$('')[0]]).on({mouseenter:function(){t.dataSM("scroll").up=$(this).hasClass("scroll-up"),x.menuScroll(t)},mouseleave:function(e){x.menuScrollStop(t),x.menuScrollOut(t,e)},"mousewheel DOMMouseScroll":function(t){t.preventDefault()}}).insertAfter(t));var A=".smartmenus_scroll";if(t.dataSM("scroll",{y:this.cssTransforms3d?0:i-m,step:1,itemH:m,subH:h,arrowDownH:this.getHeight(t.dataSM("scroll-arrows").eq(1))}).on(getEventsNS({mouseover:function(e){x.menuScrollOver(t,e)},mouseout:function(e){x.menuScrollOut(t,e)},"mousewheel DOMMouseScroll":function(e){x.menuScrollMousewheel(t,e)}},A)).dataSM("scroll-arrows").css({top:"auto",left:"0",marginLeft:e+(parseInt(t.css("border-left-width"))||0),width:r-(parseInt(t.css("border-left-width"))||0)-(parseInt(t.css("border-right-width"))||0),zIndex:t.css("z-index")}).eq(g&&this.opts.bottomToTopSubMenus?0:1).show(),this.isFixed()){var C={};C[touchEvents?"touchstart touchmove touchend":"pointerdown pointermove pointerup MSPointerDown MSPointerMove MSPointerUp"]=function(e){x.menuScrollTouch(t,e)},t.css({"touch-action":"none","-ms-touch-action":"none"}).on(getEventsNS(C,A))}}}t.css({top:"auto",left:"0",marginLeft:e,marginTop:i-m})},menuScroll:function(t,e,i){var s,o=t.dataSM("scroll"),a=t.dataSM("scroll-arrows"),n=o.up?o.upEnd:o.downEnd;if(!e&&o.momentum){if(o.momentum*=.92,s=o.momentum,.5>s)return this.menuScrollStop(t),void 0}else s=i||(e||!this.opts.scrollAccelerate?this.opts.scrollStep:Math.floor(o.step));var r=t.dataSM("level");if(this.activatedItems[r-1]&&this.activatedItems[r-1].dataSM("sub")&&this.activatedItems[r-1].dataSM("sub").is(":visible")&&this.menuHideSubMenus(r-1),o.y=o.up&&o.y>=n||!o.up&&n>=o.y?o.y:Math.abs(n-o.y)>s?o.y+(o.up?s:-s):n,t.css(this.cssTransforms3d?{"-webkit-transform":"translate3d(0, "+o.y+"px, 0)",transform:"translate3d(0, "+o.y+"px, 0)"}:{marginTop:o.y}),mouse&&(o.up&&o.y>o.downEnd||!o.up&&o.y0;t.dataSM("scroll-arrows").eq(i?0:1).is(":visible")&&(t.dataSM("scroll").up=i,this.menuScroll(t,!0))}e.preventDefault()},menuScrollOut:function(t,e){mouse&&(/^scroll-(up|down)/.test((e.relatedTarget||"").className)||(t[0]==e.relatedTarget||$.contains(t[0],e.relatedTarget))&&this.getClosestMenu(e.relatedTarget)==t[0]||t.dataSM("scroll-arrows").css("visibility","hidden"))},menuScrollOver:function(t,e){if(mouse&&!/^scroll-(up|down)/.test(e.target.className)&&this.getClosestMenu(e.target)==t[0]){this.menuScrollRefreshData(t);var i=t.dataSM("scroll"),s=$(window).scrollTop()-t.dataSM("parent-a").offset().top-i.itemH;t.dataSM("scroll-arrows").eq(0).css("margin-top",s).end().eq(1).css("margin-top",s+this.getViewportHeight()-i.arrowDownH).end().css("visibility","visible")}},menuScrollRefreshData:function(t){var e=t.dataSM("scroll"),i=$(window).scrollTop()-t.dataSM("parent-a").offset().top-e.itemH;this.cssTransforms3d&&(i=-(parseFloat(t.css("margin-top"))-i)),$.extend(e,{upEnd:i,downEnd:i+this.getViewportHeight()-e.subH})},menuScrollStop:function(t){return this.scrollTimeout?(cancelAnimationFrame(this.scrollTimeout),this.scrollTimeout=0,t.dataSM("scroll").step=1,!0):void 0},menuScrollTouch:function(t,e){if(e=e.originalEvent,isTouchEvent(e)){var i=this.getTouchPoint(e);if(this.getClosestMenu(i.target)==t[0]){var s=t.dataSM("scroll");if(/(start|down)$/i.test(e.type))this.menuScrollStop(t)?(e.preventDefault(),this.$touchScrollingSub=t):this.$touchScrollingSub=null,this.menuScrollRefreshData(t),$.extend(s,{touchStartY:i.pageY,touchStartTime:e.timeStamp});else if(/move$/i.test(e.type)){var o=void 0!==s.touchY?s.touchY:s.touchStartY;if(void 0!==o&&o!=i.pageY){this.$touchScrollingSub=t;var a=i.pageY>o;void 0!==s.up&&s.up!=a&&$.extend(s,{touchStartY:i.pageY,touchStartTime:e.timeStamp}),$.extend(s,{up:a,touchY:i.pageY}),this.menuScroll(t,!0,Math.abs(i.pageY-o))}e.preventDefault()}else void 0!==s.touchY&&((s.momentum=15*Math.pow(Math.abs(i.pageY-s.touchStartY)/(e.timeStamp-s.touchStartTime),2))&&(this.menuScrollStop(t),this.menuScroll(t),e.preventDefault()),delete s.touchY)}}},menuShow:function(t){if((t.dataSM("beforefirstshowfired")||(t.dataSM("beforefirstshowfired",!0),this.$root.triggerHandler("beforefirstshow.smapi",t[0])!==!1))&&this.$root.triggerHandler("beforeshow.smapi",t[0])!==!1&&(t.dataSM("shown-before",!0),canAnimate&&t.stop(!0,!0),!t.is(":visible"))){var e=t.dataSM("parent-a"),i=this.isCollapsible();if((this.opts.keepHighlighted||i)&&e.addClass("highlighted"),i)t.removeClass("sm-nowrap").css({zIndex:"",width:"auto",minWidth:"",maxWidth:"",top:"",left:"",marginLeft:"",marginTop:""});else{if(t.css("z-index",this.zIndexInc=(this.zIndexInc||this.getStartZIndex())+1),(this.opts.subMenusMinWidth||this.opts.subMenusMaxWidth)&&(t.css({width:"auto",minWidth:"",maxWidth:""}).addClass("sm-nowrap"),this.opts.subMenusMinWidth&&t.css("min-width",this.opts.subMenusMinWidth),this.opts.subMenusMaxWidth)){var s=this.getWidth(t);t.css("max-width",this.opts.subMenusMaxWidth),s>this.getWidth(t)&&t.removeClass("sm-nowrap").css("width",this.opts.subMenusMaxWidth)}this.menuPosition(t)}var o=function(){t.css("overflow","")};i?canAnimate&&this.opts.collapsibleShowFunction?this.opts.collapsibleShowFunction.call(this,t,o):t.show(this.opts.collapsibleShowDuration,o):canAnimate&&this.opts.showFunction?this.opts.showFunction.call(this,t,o):t.show(this.opts.showDuration,o),e.attr("aria-expanded","true"),t.attr({"aria-expanded":"true","aria-hidden":"false"}),this.visibleSubMenus.push(t),this.$root.triggerHandler("show.smapi",t[0])}},popupHide:function(t){this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0);var e=this;this.hideTimeout=setTimeout(function(){e.menuHideAll()},t?1:this.opts.hideTimeout)},popupShow:function(t,e){if(!this.opts.isPopup)return alert('SmartMenus jQuery Error:\n\nIf you want to show this menu via the "popupShow" method, set the isPopup:true option.'),void 0;if(this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0),this.$root.dataSM("shown-before",!0),canAnimate&&this.$root.stop(!0,!0),!this.$root.is(":visible")){this.$root.css({left:t,top:e});var i=this,s=function(){i.$root.css("overflow","")};canAnimate&&this.opts.showFunction?this.opts.showFunction.call(this,this.$root,s):this.$root.show(this.opts.showDuration,s),this.visibleSubMenus[0]=this.$root}},refresh:function(){this.destroy(!0),this.init(!0)},rootKeyDown:function(t){if(this.handleEvents())switch(t.keyCode){case 27:var e=this.activatedItems[0];if(e){this.menuHideAll(),e[0].focus();var i=e.dataSM("sub");i&&this.menuHide(i)}break;case 32:var s=$(t.target);if(s.is("a")&&this.handleItemEvents(s)){var i=s.dataSM("sub");i&&!i.is(":visible")&&(this.itemClick({currentTarget:t.target}),t.preventDefault())}}},rootOut:function(t){if(this.handleEvents()&&!this.isTouchMode()&&t.target!=this.$root[0]&&(this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0),!this.opts.showOnClick||!this.opts.hideOnClick)){var e=this;this.hideTimeout=setTimeout(function(){e.menuHideAll()},this.opts.hideTimeout)}},rootOver:function(t){this.handleEvents()&&!this.isTouchMode()&&t.target!=this.$root[0]&&this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0)},winResize:function(t){if(this.handleEvents()){if(!("onorientationchange"in window)||"orientationchange"==t.type){var e=this.isCollapsible();this.wasCollapsible&&e||(this.activatedItems.length&&this.activatedItems[this.activatedItems.length-1][0].blur(),this.menuHideAll()),this.wasCollapsible=e}}else if(this.$disableOverlay){var i=this.$root.offset();this.$disableOverlay.css({top:i.top,left:i.left,width:this.$root.outerWidth(),height:this.$root.outerHeight()})}}}}),$.fn.dataSM=function(t,e){return e?this.data(t+"_smartmenus",e):this.data(t+"_smartmenus")},$.fn.removeDataSM=function(t){return this.removeData(t+"_smartmenus")},$.fn.smartmenus=function(options){if("string"==typeof options){var args=arguments,method=options;return Array.prototype.shift.call(args),this.each(function(){var t=$(this).data("smartmenus");t&&t[method]&&t[method].apply(t,args)})}return this.each(function(){var dataOpts=$(this).data("sm-options")||null;if(dataOpts)try{dataOpts=eval("("+dataOpts+")")}catch(e){dataOpts=null,alert('ERROR\n\nSmartMenus jQuery init:\nInvalid "data-sm-options" attribute value syntax.')}new $.SmartMenus(this,$.extend({},$.fn.smartmenus.defaults,options,dataOpts))})},$.fn.smartmenus.defaults={isPopup:!1,mainMenuSubOffsetX:0,mainMenuSubOffsetY:0,subMenusSubOffsetX:0,subMenusSubOffsetY:0,subMenusMinWidth:"10em",subMenusMaxWidth:"20em",subIndicators:!0,subIndicatorsPos:"append",subIndicatorsText:"",scrollStep:30,scrollAccelerate:!0,showTimeout:250,hideTimeout:500,showDuration:0,showFunction:null,hideDuration:0,hideFunction:function(t,e){t.fadeOut(200,e)},collapsibleShowDuration:0,collapsibleShowFunction:function(t,e){t.slideDown(200,e)},collapsibleHideDuration:0,collapsibleHideFunction:function(t,e){t.slideUp(200,e)},showOnClick:!1,hideOnClick:!0,noMouseOver:!1,keepInViewport:!0,keepHighlighted:!0,markCurrentItem:!1,markCurrentTree:!0,rightToLeftSubMenus:!1,bottomToTopSubMenus:!1,collapsibleBehavior:"default"},$}); \ No newline at end of file + * Copyright Vasil Dinkov, Vadikom Web Ltd. http://vadikom.com; Licensed MIT */(function(t){"function"==typeof define&&define.amd?define(["jquery"],t):"object"==typeof module&&"object"==typeof module.exports?module.exports=t(require("jquery")):t(jQuery)})(function($){function initMouseDetection(t){var e=".smartmenus_mouse";if(mouseDetectionEnabled||t)mouseDetectionEnabled&&t&&($(document).off(e),mouseDetectionEnabled=!1);else{var i=!0,s=null,o={mousemove:function(t){var e={x:t.pageX,y:t.pageY,timeStamp:(new Date).getTime()};if(s){var o=Math.abs(s.x-e.x),a=Math.abs(s.y-e.y);if((o>0||a>0)&&2>=o&&2>=a&&300>=e.timeStamp-s.timeStamp&&(mouse=!0,i)){var n=$(t.target).closest("a");n.is("a")&&$.each(menuTrees,function(){return $.contains(this.$root[0],n[0])?(this.itemEnter({currentTarget:n[0]}),!1):void 0}),i=!1}}s=e}};o[touchEvents?"touchstart":"pointerover pointermove pointerout MSPointerOver MSPointerMove MSPointerOut"]=function(t){isTouchEvent(t.originalEvent)&&(mouse=!1)},$(document).on(getEventsNS(o,e)), +mouseDetectionEnabled=!0}}function isTouchEvent(t){return!/^(4|mouse)$/.test(t.pointerType)}function getEventsNS(t,e){e||(e="");var i={};for(var s in t)i[s.split(" ").join(e+" ")+e]=t[s];return i}var menuTrees=[],mouse=!1,touchEvents="ontouchstart"in window,mouseDetectionEnabled=!1,requestAnimationFrame=window.requestAnimationFrame||function(t){return setTimeout(t,1e3/60)},cancelAnimationFrame=window.cancelAnimationFrame||function(t){clearTimeout(t)},canAnimate=!!$.fn.animate;return $.SmartMenus=function(t,e){this.$root=$(t),this.opts=e,this.rootId="",this.accessIdPrefix="",this.$subArrow=null,this.activatedItems=[],this.visibleSubMenus=[],this.showTimeout=0,this.hideTimeout=0,this.scrollTimeout=0,this.clickActivated=!1,this.focusActivated=!1,this.zIndexInc=0,this.idInc=0,this.$firstLink=null,this.$firstSub=null,this.disabled=!1,this.$disableOverlay=null,this.$touchScrollingSub=null,this.cssTransforms3d="perspective"in t.style||"webkitPerspective"in t.style,this.wasCollapsible=!1,this.init()},$.extend( +$.SmartMenus,{hideAll:function(){$.each(menuTrees,function(){this.menuHideAll()})},destroy:function(){for(;menuTrees.length;)menuTrees[0].destroy();initMouseDetection(!0)},prototype:{init:function(t){var e=this;if(!t){menuTrees.push(this),this.rootId=((new Date).getTime()+Math.random()+"").replace(/\D/g,""),this.accessIdPrefix="sm-"+this.rootId+"-",this.$root.hasClass("sm-rtl")&&(this.opts.rightToLeftSubMenus=!0);var i=".smartmenus";this.$root.data("smartmenus",this).attr("data-smartmenus-id",this.rootId).dataSM("level",1).on(getEventsNS({"mouseover focusin":$.proxy(this.rootOver,this),"mouseout focusout":$.proxy(this.rootOut,this),keydown:$.proxy(this.rootKeyDown,this)},i)).on(getEventsNS({mouseenter:$.proxy(this.itemEnter,this),mouseleave:$.proxy(this.itemLeave,this),mousedown:$.proxy(this.itemDown,this),focus:$.proxy(this.itemFocus,this),blur:$.proxy(this.itemBlur,this),click:$.proxy(this.itemClick,this)},i),"a"),i+=this.rootId,this.opts.hideOnClick&&$(document).on(getEventsNS({touchstart:$.proxy( +this.docTouchStart,this),touchmove:$.proxy(this.docTouchMove,this),touchend:$.proxy(this.docTouchEnd,this),click:$.proxy(this.docClick,this)},i)),$(window).on(getEventsNS({"resize orientationchange":$.proxy(this.winResize,this)},i)),this.opts.subIndicators&&(this.$subArrow=$("").addClass("sub-arrow"),this.opts.subIndicatorsText&&this.$subArrow.html(this.opts.subIndicatorsText)),initMouseDetection()}if(this.$firstSub=this.$root.find("ul").each(function(){e.menuInit($(this))}).eq(0),this.$firstLink=this.$root.find("a").eq(0),this.opts.markCurrentItem){var s=/(index|default)\.[^#\?\/]*/i,o=/#.*/,a=window.location.href.replace(s,""),n=a.replace(o,"");this.$root.find("a").each(function(){var t=this.href.replace(s,""),i=$(this);(t==a||t==n)&&(i.addClass("current"),e.opts.markCurrentTree&&i.parentsUntil("[data-smartmenus-id]","ul").each(function(){$(this).dataSM("parent-a").addClass("current")}))})}this.wasCollapsible=this.isCollapsible()},destroy:function(t){if(!t){var e=".smartmenus";this.$root.removeData( +"smartmenus").removeAttr("data-smartmenus-id").removeDataSM("level").off(e),e+=this.rootId,$(document).off(e),$(window).off(e),this.opts.subIndicators&&(this.$subArrow=null)}this.menuHideAll();var i=this;this.$root.find("ul").each(function(){var t=$(this);t.dataSM("scroll-arrows")&&t.dataSM("scroll-arrows").remove(),t.dataSM("shown-before")&&((i.opts.subMenusMinWidth||i.opts.subMenusMaxWidth)&&t.css({width:"",minWidth:"",maxWidth:""}).removeClass("sm-nowrap"),t.dataSM("scroll-arrows")&&t.dataSM("scroll-arrows").remove(),t.css({zIndex:"",top:"",left:"",marginLeft:"",marginTop:"",display:""})),0==(t.attr("id")||"").indexOf(i.accessIdPrefix)&&t.removeAttr("id")}).removeDataSM("in-mega").removeDataSM("shown-before").removeDataSM("scroll-arrows").removeDataSM("parent-a").removeDataSM("level").removeDataSM("beforefirstshowfired").removeAttr("role").removeAttr("aria-hidden").removeAttr("aria-labelledby").removeAttr("aria-expanded"),this.$root.find("a.has-submenu").each(function(){var t=$(this);0==t.attr("id" +).indexOf(i.accessIdPrefix)&&t.removeAttr("id")}).removeClass("has-submenu").removeDataSM("sub").removeAttr("aria-haspopup").removeAttr("aria-controls").removeAttr("aria-expanded").closest("li").removeDataSM("sub"),this.opts.subIndicators&&this.$root.find("span.sub-arrow").remove(),this.opts.markCurrentItem&&this.$root.find("a.current").removeClass("current"),t||(this.$root=null,this.$firstLink=null,this.$firstSub=null,this.$disableOverlay&&(this.$disableOverlay.remove(),this.$disableOverlay=null),menuTrees.splice($.inArray(this,menuTrees),1))},disable:function(t){if(!this.disabled){if(this.menuHideAll(),!t&&!this.opts.isPopup&&this.$root.is(":visible")){var e=this.$root.offset();this.$disableOverlay=$('
').css({position:"absolute",top:e.top,left:e.left,width:this.$root.outerWidth(),height:this.$root.outerHeight(),zIndex:this.getStartZIndex(!0),opacity:0}).appendTo(document.body)}this.disabled=!0}},docClick:function(t){return this.$touchScrollingSub?( +this.$touchScrollingSub=null,void 0):((this.visibleSubMenus.length&&!$.contains(this.$root[0],t.target)||$(t.target).closest("a").length)&&this.menuHideAll(),void 0)},docTouchEnd:function(){if(this.lastTouch){if(!(!this.visibleSubMenus.length||void 0!==this.lastTouch.x2&&this.lastTouch.x1!=this.lastTouch.x2||void 0!==this.lastTouch.y2&&this.lastTouch.y1!=this.lastTouch.y2||this.lastTouch.target&&$.contains(this.$root[0],this.lastTouch.target))){this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0);var t=this;this.hideTimeout=setTimeout(function(){t.menuHideAll()},350)}this.lastTouch=null}},docTouchMove:function(t){if(this.lastTouch){var e=t.originalEvent.touches[0];this.lastTouch.x2=e.pageX,this.lastTouch.y2=e.pageY}},docTouchStart:function(t){var e=t.originalEvent.touches[0];this.lastTouch={x1:e.pageX,y1:e.pageY,target:e.target}},enable:function(){this.disabled&&(this.$disableOverlay&&(this.$disableOverlay.remove(),this.$disableOverlay=null),this.disabled=!1)},getClosestMenu:function(t){for( +var e=$(t).closest("ul");e.dataSM("in-mega");)e=e.parent().closest("ul");return e[0]||null},getHeight:function(t){return this.getOffset(t,!0)},getOffset:function(t,e){var i;"none"==t.css("display")&&(i={position:t[0].style.position,visibility:t[0].style.visibility},t.css({position:"absolute",visibility:"hidden"}).show());var s=t[0].getBoundingClientRect&&t[0].getBoundingClientRect(),o=s&&(e?s.height||s.bottom-s.top:s.width||s.right-s.left);return o||0===o||(o=e?t[0].offsetHeight:t[0].offsetWidth),i&&t.hide().css(i),o},getStartZIndex:function(t){var e=parseInt(this[t?"$root":"$firstSub"].css("z-index"));return!t&&isNaN(e)&&(e=parseInt(this.$root.css("z-index"))),isNaN(e)?1:e},getTouchPoint:function(t){return t.touches&&t.touches[0]||t.changedTouches&&t.changedTouches[0]||t},getViewport:function(t){var e=t?"Height":"Width",i=document.documentElement["client"+e],s=window["inner"+e];return s&&(i=Math.min(i,s)),i},getViewportHeight:function(){return this.getViewport(!0)},getViewportWidth:function(){ +return this.getViewport()},getWidth:function(t){return this.getOffset(t)},handleEvents:function(){return!this.disabled&&this.isCSSOn()},handleItemEvents:function(t){return this.handleEvents()&&!this.isLinkInMegaMenu(t)},isCollapsible:function(){return"static"==this.$firstSub.css("position")},isCSSOn:function(){return"inline"!=this.$firstLink.css("display")},isFixed:function(){var t="fixed"==this.$root.css("position");return t||this.$root.parentsUntil("body").each(function(){return"fixed"==$(this).css("position")?(t=!0,!1):void 0}),t},isLinkInMegaMenu:function(t){return $(this.getClosestMenu(t[0])).hasClass("mega-menu")},isTouchMode:function(){return!mouse||this.opts.noMouseOver||this.isCollapsible()},itemActivate:function(t,e){var i=t.closest("ul"),s=i.dataSM("level");if(s>1&&(!this.activatedItems[s-2]||this.activatedItems[s-2][0]!=i.dataSM("parent-a")[0])){var o=this;$(i.parentsUntil("[data-smartmenus-id]","ul").get().reverse()).add(i).each(function(){o.itemActivate($(this).dataSM("parent-a"))})}if(( +!this.isCollapsible()||e)&&this.menuHideSubMenus(this.activatedItems[s-1]&&this.activatedItems[s-1][0]==t[0]?s:s-1),this.activatedItems[s-1]=t,this.$root.triggerHandler("activate.smapi",t[0])!==!1){var a=t.dataSM("sub");a&&(this.isTouchMode()||!this.opts.showOnClick||this.clickActivated)&&this.menuShow(a)}},itemBlur:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&this.$root.triggerHandler("blur.smapi",e[0])},itemClick:function(t){var e=$(t.currentTarget);if(this.handleItemEvents(e)){if(this.$touchScrollingSub&&this.$touchScrollingSub[0]==e.closest("ul")[0])return this.$touchScrollingSub=null,t.stopPropagation(),!1;if(this.$root.triggerHandler("click.smapi",e[0])===!1)return!1;var i=$(t.target).is(".sub-arrow"),s=e.dataSM("sub"),o=s?2==s.dataSM("level"):!1,a=this.isCollapsible(),n=/toggle$/.test(this.opts.collapsibleBehavior),r=/link$/.test(this.opts.collapsibleBehavior),h=/^accordion/.test(this.opts.collapsibleBehavior);if(s&&!s.is(":visible")){if((!r||!a||i)&&(this.opts.showOnClick&&o&&( +this.clickActivated=!0),this.itemActivate(e,h),s.is(":visible")))return this.focusActivated=!0,!1}else if(a&&(n||i))return this.itemActivate(e,h),this.menuHide(s),n&&(this.focusActivated=!1),!1;return this.opts.showOnClick&&o||e.hasClass("disabled")||this.$root.triggerHandler("select.smapi",e[0])===!1?!1:void 0}},itemDown:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&e.dataSM("mousedown",!0)},itemEnter:function(t){var e=$(t.currentTarget);if(this.handleItemEvents(e)){if(!this.isTouchMode()){this.showTimeout&&(clearTimeout(this.showTimeout),this.showTimeout=0);var i=this;this.showTimeout=setTimeout(function(){i.itemActivate(e)},this.opts.showOnClick&&1==e.closest("ul").dataSM("level")?1:this.opts.showTimeout)}this.$root.triggerHandler("mouseenter.smapi",e[0])}},itemFocus:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&(!this.focusActivated||this.isTouchMode()&&e.dataSM("mousedown")||this.activatedItems.length&&this.activatedItems[this.activatedItems.length-1][0]==e[0 +]||this.itemActivate(e,!0),this.$root.triggerHandler("focus.smapi",e[0]))},itemLeave:function(t){var e=$(t.currentTarget);this.handleItemEvents(e)&&(this.isTouchMode()||(e[0].blur(),this.showTimeout&&(clearTimeout(this.showTimeout),this.showTimeout=0)),e.removeDataSM("mousedown"),this.$root.triggerHandler("mouseleave.smapi",e[0]))},menuHide:function(t){if(this.$root.triggerHandler("beforehide.smapi",t[0])!==!1&&(canAnimate&&t.stop(!0,!0),"none"!=t.css("display"))){var e=function(){t.css("z-index","")};this.isCollapsible()?canAnimate&&this.opts.collapsibleHideFunction?this.opts.collapsibleHideFunction.call(this,t,e):t.hide(this.opts.collapsibleHideDuration,e):canAnimate&&this.opts.hideFunction?this.opts.hideFunction.call(this,t,e):t.hide(this.opts.hideDuration,e),t.dataSM("scroll")&&(this.menuScrollStop(t),t.css({"touch-action":"","-ms-touch-action":"","-webkit-transform":"",transform:""}).off(".smartmenus_scroll").removeDataSM("scroll").dataSM("scroll-arrows").hide()),t.dataSM("parent-a").removeClass( +"highlighted").attr("aria-expanded","false"),t.attr({"aria-expanded":"false","aria-hidden":"true"});var i=t.dataSM("level");this.activatedItems.splice(i-1,1),this.visibleSubMenus.splice($.inArray(t,this.visibleSubMenus),1),this.$root.triggerHandler("hide.smapi",t[0])}},menuHideAll:function(){this.showTimeout&&(clearTimeout(this.showTimeout),this.showTimeout=0);for(var t=this.opts.isPopup?1:0,e=this.visibleSubMenus.length-1;e>=t;e--)this.menuHide(this.visibleSubMenus[e]);this.opts.isPopup&&(canAnimate&&this.$root.stop(!0,!0),this.$root.is(":visible")&&(canAnimate&&this.opts.hideFunction?this.opts.hideFunction.call(this,this.$root):this.$root.hide(this.opts.hideDuration))),this.activatedItems=[],this.visibleSubMenus=[],this.clickActivated=!1,this.focusActivated=!1,this.zIndexInc=0,this.$root.triggerHandler("hideAll.smapi")},menuHideSubMenus:function(t){for(var e=this.activatedItems.length-1;e>=t;e--){var i=this.activatedItems[e].dataSM("sub");i&&this.menuHide(i)}},menuInit:function(t){if(!t.dataSM("in-mega")){ +t.hasClass("mega-menu")&&t.find("ul").dataSM("in-mega",!0);for(var e=2,i=t[0];(i=i.parentNode.parentNode)!=this.$root[0];)e++;var s=t.prevAll("a").eq(-1);s.length||(s=t.prevAll().find("a").eq(-1)),s.addClass("has-submenu").dataSM("sub",t),t.dataSM("parent-a",s).dataSM("level",e).parent().dataSM("sub",t);var o=s.attr("id")||this.accessIdPrefix+ ++this.idInc,a=t.attr("id")||this.accessIdPrefix+ ++this.idInc;s.attr({id:o,"aria-haspopup":"true","aria-controls":a,"aria-expanded":"false"}),t.attr({id:a,role:"group","aria-hidden":"true","aria-labelledby":o,"aria-expanded":"false"}),this.opts.subIndicators&&s[this.opts.subIndicatorsPos](this.$subArrow.clone())}},menuPosition:function(t){var e,i,s=t.dataSM("parent-a"),o=s.closest("li"),a=o.parent(),n=t.dataSM("level"),r=this.getWidth(t),h=this.getHeight(t),u=s.offset(),l=u.left,c=u.top,d=this.getWidth(s),m=this.getHeight(s),p=$(window),f=p.scrollLeft(),v=p.scrollTop(),b=this.getViewportWidth(),S=this.getViewportHeight(),g=a.parent().is("[data-sm-horizontal-sub]" +)||2==n&&!a.hasClass("sm-vertical"),M=this.opts.rightToLeftSubMenus&&!o.is("[data-sm-reverse]")||!this.opts.rightToLeftSubMenus&&o.is("[data-sm-reverse]"),w=2==n?this.opts.mainMenuSubOffsetX:this.opts.subMenusSubOffsetX,T=2==n?this.opts.mainMenuSubOffsetY:this.opts.subMenusSubOffsetY;if(g?(e=M?d-r-w:w,i=this.opts.bottomToTopSubMenus?-h-T:m+T):(e=M?w-r:d-w,i=this.opts.bottomToTopSubMenus?m-T-h:T),this.opts.keepInViewport){var y=l+e,I=c+i;if(M&&f>y?e=g?f-y+e:d-w:!M&&y+r>f+b&&(e=g?f+b-r-y+e:w-r),g||(S>h&&I+h>v+S?i+=v+S-h-I:(h>=S||v>I)&&(i+=v-I)),g&&(I+h>v+S+.49||v>I)||!g&&h>S+.49){var x=this;t.dataSM("scroll-arrows")||t.dataSM("scroll-arrows",$([$('')[0],$('')[0]]).on({mouseenter:function(){t.dataSM("scroll").up=$(this).hasClass("scroll-up"),x.menuScroll(t)},mouseleave:function(e){x.menuScrollStop(t),x.menuScrollOut(t,e)},"mousewheel DOMMouseScroll":function(t){ +t.preventDefault()}}).insertAfter(t));var A=".smartmenus_scroll";if(t.dataSM("scroll",{y:this.cssTransforms3d?0:i-m,step:1,itemH:m,subH:h,arrowDownH:this.getHeight(t.dataSM("scroll-arrows").eq(1))}).on(getEventsNS({mouseover:function(e){x.menuScrollOver(t,e)},mouseout:function(e){x.menuScrollOut(t,e)},"mousewheel DOMMouseScroll":function(e){x.menuScrollMousewheel(t,e)}},A)).dataSM("scroll-arrows").css({top:"auto",left:"0",marginLeft:e+(parseInt(t.css("border-left-width"))||0),width:r-(parseInt(t.css("border-left-width"))||0)-(parseInt(t.css("border-right-width"))||0),zIndex:t.css("z-index")}).eq(g&&this.opts.bottomToTopSubMenus?0:1).show(),this.isFixed()){var C={};C[touchEvents?"touchstart touchmove touchend":"pointerdown pointermove pointerup MSPointerDown MSPointerMove MSPointerUp"]=function(e){x.menuScrollTouch(t,e)},t.css({"touch-action":"none","-ms-touch-action":"none"}).on(getEventsNS(C,A))}}}t.css({top:"auto",left:"0",marginLeft:e,marginTop:i-m})},menuScroll:function(t,e,i){var s,o=t.dataSM("scroll"), +a=t.dataSM("scroll-arrows"),n=o.up?o.upEnd:o.downEnd;if(!e&&o.momentum){if(o.momentum*=.92,s=o.momentum,.5>s)return this.menuScrollStop(t),void 0}else s=i||(e||!this.opts.scrollAccelerate?this.opts.scrollStep:Math.floor(o.step));var r=t.dataSM("level");if(this.activatedItems[r-1]&&this.activatedItems[r-1].dataSM("sub")&&this.activatedItems[r-1].dataSM("sub").is(":visible")&&this.menuHideSubMenus(r-1),o.y=o.up&&o.y>=n||!o.up&&n>=o.y?o.y:Math.abs(n-o.y)>s?o.y+(o.up?s:-s):n,t.css(this.cssTransforms3d?{"-webkit-transform":"translate3d(0, "+o.y+"px, 0)",transform:"translate3d(0, "+o.y+"px, 0)"}:{marginTop:o.y}),mouse&&(o.up&&o.y>o.downEnd||!o.up&&o.y0;t.dataSM("scroll-arrows").eq(i?0:1).is(":visible")&&(t.dataSM("scroll").up=i,this.menuScroll(t,!0))}e.preventDefault()},menuScrollOut:function(t,e){mouse&&(/^scroll-(up|down)/.test((e.relatedTarget||"").className)||(t[0]==e.relatedTarget||$.contains(t[0],e.relatedTarget))&&this.getClosestMenu(e.relatedTarget)==t[0]||t.dataSM("scroll-arrows").css("visibility","hidden"))},menuScrollOver:function(t,e){if(mouse&&!/^scroll-(up|down)/.test(e.target.className)&&this.getClosestMenu(e.target)==t[0]){this.menuScrollRefreshData(t);var i=t.dataSM("scroll"),s=$(window).scrollTop()-t.dataSM("parent-a").offset().top-i.itemH;t.dataSM("scroll-arrows").eq(0).css("margin-top",s).end().eq(1).css("margin-top",s+this.getViewportHeight()-i.arrowDownH).end().css("visibility","visible")}},menuScrollRefreshData:function(t){var e=t.dataSM("scroll"),i=$(window).scrollTop()-t.dataSM("parent-a").offset().top-e.itemH;this.cssTransforms3d&&(i=-(parseFloat(t.css("margin-top"))-i)),$.extend(e,{upEnd:i, +downEnd:i+this.getViewportHeight()-e.subH})},menuScrollStop:function(t){return this.scrollTimeout?(cancelAnimationFrame(this.scrollTimeout),this.scrollTimeout=0,t.dataSM("scroll").step=1,!0):void 0},menuScrollTouch:function(t,e){if(e=e.originalEvent,isTouchEvent(e)){var i=this.getTouchPoint(e);if(this.getClosestMenu(i.target)==t[0]){var s=t.dataSM("scroll");if(/(start|down)$/i.test(e.type))this.menuScrollStop(t)?(e.preventDefault(),this.$touchScrollingSub=t):this.$touchScrollingSub=null,this.menuScrollRefreshData(t),$.extend(s,{touchStartY:i.pageY,touchStartTime:e.timeStamp});else if(/move$/i.test(e.type)){var o=void 0!==s.touchY?s.touchY:s.touchStartY;if(void 0!==o&&o!=i.pageY){this.$touchScrollingSub=t;var a=i.pageY>o;void 0!==s.up&&s.up!=a&&$.extend(s,{touchStartY:i.pageY,touchStartTime:e.timeStamp}),$.extend(s,{up:a,touchY:i.pageY}),this.menuScroll(t,!0,Math.abs(i.pageY-o))}e.preventDefault()}else void 0!==s.touchY&&((s.momentum=15*Math.pow(Math.abs(i.pageY-s.touchStartY)/(e.timeStamp-s.touchStartTime),2) +)&&(this.menuScrollStop(t),this.menuScroll(t),e.preventDefault()),delete s.touchY)}}},menuShow:function(t){if((t.dataSM("beforefirstshowfired")||(t.dataSM("beforefirstshowfired",!0),this.$root.triggerHandler("beforefirstshow.smapi",t[0])!==!1))&&this.$root.triggerHandler("beforeshow.smapi",t[0])!==!1&&(t.dataSM("shown-before",!0),canAnimate&&t.stop(!0,!0),!t.is(":visible"))){var e=t.dataSM("parent-a"),i=this.isCollapsible();if((this.opts.keepHighlighted||i)&&e.addClass("highlighted"),i)t.removeClass("sm-nowrap").css({zIndex:"",width:"auto",minWidth:"",maxWidth:"",top:"",left:"",marginLeft:"",marginTop:""});else{if(t.css("z-index",this.zIndexInc=(this.zIndexInc||this.getStartZIndex())+1),(this.opts.subMenusMinWidth||this.opts.subMenusMaxWidth)&&(t.css({width:"auto",minWidth:"",maxWidth:""}).addClass("sm-nowrap"),this.opts.subMenusMinWidth&&t.css("min-width",this.opts.subMenusMinWidth),this.opts.subMenusMaxWidth)){var s=this.getWidth(t);t.css("max-width",this.opts.subMenusMaxWidth),s>this.getWidth(t +)&&t.removeClass("sm-nowrap").css("width",this.opts.subMenusMaxWidth)}this.menuPosition(t)}var o=function(){t.css("overflow","")};i?canAnimate&&this.opts.collapsibleShowFunction?this.opts.collapsibleShowFunction.call(this,t,o):t.show(this.opts.collapsibleShowDuration,o):canAnimate&&this.opts.showFunction?this.opts.showFunction.call(this,t,o):t.show(this.opts.showDuration,o),e.attr("aria-expanded","true"),t.attr({"aria-expanded":"true","aria-hidden":"false"}),this.visibleSubMenus.push(t),this.$root.triggerHandler("show.smapi",t[0])}},popupHide:function(t){this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0);var e=this;this.hideTimeout=setTimeout(function(){e.menuHideAll()},t?1:this.opts.hideTimeout)},popupShow:function(t,e){if(!this.opts.isPopup)return alert('SmartMenus jQuery Error:\n\nIf you want to show this menu via the "popupShow" method, set the isPopup:true option.'),void 0;if(this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0),this.$root.dataSM("shown-before",!0), +canAnimate&&this.$root.stop(!0,!0),!this.$root.is(":visible")){this.$root.css({left:t,top:e});var i=this,s=function(){i.$root.css("overflow","")};canAnimate&&this.opts.showFunction?this.opts.showFunction.call(this,this.$root,s):this.$root.show(this.opts.showDuration,s),this.visibleSubMenus[0]=this.$root}},refresh:function(){this.destroy(!0),this.init(!0)},rootKeyDown:function(t){if(this.handleEvents())switch(t.keyCode){case 27:var e=this.activatedItems[0];if(e){this.menuHideAll(),e[0].focus();var i=e.dataSM("sub");i&&this.menuHide(i)}break;case 32:var s=$(t.target);if(s.is("a")&&this.handleItemEvents(s)){var i=s.dataSM("sub");i&&!i.is(":visible")&&(this.itemClick({currentTarget:t.target}),t.preventDefault())}}},rootOut:function(t){if(this.handleEvents()&&!this.isTouchMode()&&t.target!=this.$root[0]&&(this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0),!this.opts.showOnClick||!this.opts.hideOnClick)){var e=this;this.hideTimeout=setTimeout(function(){e.menuHideAll()},this.opts.hideTimeout)}}, +rootOver:function(t){this.handleEvents()&&!this.isTouchMode()&&t.target!=this.$root[0]&&this.hideTimeout&&(clearTimeout(this.hideTimeout),this.hideTimeout=0)},winResize:function(t){if(this.handleEvents()){if(!("onorientationchange"in window)||"orientationchange"==t.type){var e=this.isCollapsible();this.wasCollapsible&&e||(this.activatedItems.length&&this.activatedItems[this.activatedItems.length-1][0].blur(),this.menuHideAll()),this.wasCollapsible=e}}else if(this.$disableOverlay){var i=this.$root.offset();this.$disableOverlay.css({top:i.top,left:i.left,width:this.$root.outerWidth(),height:this.$root.outerHeight()})}}}}),$.fn.dataSM=function(t,e){return e?this.data(t+"_smartmenus",e):this.data(t+"_smartmenus")},$.fn.removeDataSM=function(t){return this.removeData(t+"_smartmenus")},$.fn.smartmenus=function(options){if("string"==typeof options){var args=arguments,method=options;return Array.prototype.shift.call(args),this.each(function(){var t=$(this).data("smartmenus");t&&t[method]&&t[method].apply(t,args)})} +return this.each(function(){var dataOpts=$(this).data("sm-options")||null;if(dataOpts)try{dataOpts=eval("("+dataOpts+")")}catch(e){dataOpts=null,alert('ERROR\n\nSmartMenus jQuery init:\nInvalid "data-sm-options" attribute value syntax.')}new $.SmartMenus(this,$.extend({},$.fn.smartmenus.defaults,options,dataOpts))})},$.fn.smartmenus.defaults={isPopup:!1,mainMenuSubOffsetX:0,mainMenuSubOffsetY:0,subMenusSubOffsetX:0,subMenusSubOffsetY:0,subMenusMinWidth:"10em",subMenusMaxWidth:"20em",subIndicators:!0,subIndicatorsPos:"append",subIndicatorsText:"",scrollStep:30,scrollAccelerate:!0,showTimeout:250,hideTimeout:500,showDuration:0,showFunction:null,hideDuration:0,hideFunction:function(t,e){t.fadeOut(200,e)},collapsibleShowDuration:0,collapsibleShowFunction:function(t,e){t.slideDown(200,e)},collapsibleHideDuration:0,collapsibleHideFunction:function(t,e){t.slideUp(200,e)},showOnClick:!1,hideOnClick:!0,noMouseOver:!1,keepInViewport:!0,keepHighlighted:!0,markCurrentItem:!1,markCurrentTree:!0,rightToLeftSubMenus:!1, +bottomToTopSubMenus:!1,collapsibleBehavior:"default"},$}); diff --git a/literal__expansion_8py.html b/literal__expansion_8py.html index da4ed809..0e2c6832 100644 --- a/literal__expansion_8py.html +++ b/literal__expansion_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/literal_expansion.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -89,20 +102,23 @@ Classes

class  parselib.transforms.literal_expansion.LiteralExpansion   +class  parselib.transforms.literal_expansion.LiteralExpansion2 +  - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.literal_expansion
namespace  parselib.transforms.literal_expansion
 
+ diff --git a/literal__expansion_8py_source.html b/literal__expansion_8py_source.html index c51933f8..d504c1f8 100644 --- a/literal__expansion_8py_source.html +++ b/literal__expansion_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/literal_expansion.py Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,198 +87,256 @@ +
literal_expansion.py
Go to the documentation of this file.
1import warnings
2
-
3from lark import Token
-
4
-
5from parselib.transforms import TopDown
-
6from ..utils import dprint, is_tree_type
-
7from ..grammar import UnexpectedHCodeStructureError
-
8
+
3import lark
+
4from lark import Token
+
5
+
6from parselib.transforms import TopDown
+
7from ..utils import dprint, is_tree_type, get_ids_in_tree
+
8from ..grammar import UnexpectedHCodeStructureError
9
-
- -
11 """Expands integer literal into int"""
-
-
12 def __init__(self, structure):
-
13 super().__init__()
-
14 self.structure = structure
-
15 self.is_port_binding = False
- -
17 self.field_access = None
-
18
-
-
-
19 def portbinding(self, tree):
-
20 self.is_port_binding = True
-
21 self.port_binding_module = str(self.structure[self.current_module][tree.children[0]])
-
22 self.__push_up(tree)
-
23 self.is_port_binding = False
-
24 self.port_binding_module = None
-
25 return tree
-
26
+
10
+
+ +
12 """Expands integer literal into int"""
+
+
13 def __init__(self, structure):
+
14 super().__init__()
+
15 self.structure = structure
+
16 self.is_port_binding = False
+ +
18 self.field_access = None
+
19
-
-
27 def hfieldaccess(self, tree):
-
28 """
-
29 hFieldaccess NONAME [
-
30 hBinop ARRAYSUBSCRIPT [
-
31 hBinop ARRAYSUBSCRIPT [
-
32 hVarref pa_scclang_global_15 NOLIST
-
33 hVarref sig_scclang_global_1 NOLIST
-
34 ]
-
35 hVarref sig_scclang_global_1 NOLIST
-
36 ]
-
37 hField x NOLIST
-
38 ]
-
39 """
-
40 # Field access is dedicated to struct, so no need to worry about the module
-
41 field_name = tree.children[1].children[0]
-
42 if self.field_access is not None:
-
43 self.field_access.append(field_name)
-
44 else:
-
45 self.field_access = [field_name]
-
46 self.__push_up(tree)
-
47 return tree.children[0]
-
48
-
49
+
+
20 def _get_port_binding_moduel(self, tree):
+
21 """
+
22 Get the name of the module that corresponds
+
23 to this port-binding
+
24 """
+
25 if type(tree) == lark.Tree:
+
26 if tree.children[0] != 'NONAME':
+
27 res = str(self.structure[self.current_module][tree.children[0]])
+
28 else:
+
29 ids = get_ids_in_tree(tree)
+
30 res = str(self.structure[self.current_module][ids[0]])
+
31 else:
+
32 res = str(self.structure[self.current_module][tree.children[0]])
+
33 return res
+
34
-
-
50 def hvarref(self, tree):
-
51 if '##' in tree.children[0]:
-
52 orig_token = tree.children[0]
-
53 new_val = ''
-
54 parts = orig_token.split('##')
-
55 start = self.current_module
-
56 if self.is_port_binding: # if we are in port binding, we shall take the ids directly
-
57 start = self.port_binding_module
-
58 if start in self.structure:
-
59 m = self.structure[start]
-
60 end_point = False
-
61 else:
-
62 m = {}
-
63 end_point = True
-
64 for part in parts[:-1]:
-
65 new_val += part
-
66 if not end_point:
-
67 if part in m:
-
68 delim = '.'
-
69 m = self.structure[str(m[part])]
-
70 else:
-
71 end_point = True
-
72 delim = '_'
-
73 else:
-
74 delim = '_'
-
75 new_val += delim
-
76 new_val += parts[-1]
-
77
-
78 # new_val = tree.children[0].value.replace('##', '_')
-
79 new_token = Token('ID', new_val)
-
80 tree.children[0] = new_token
-
81 if self.field_access is not None:
-
82 tree.children[0] += '_' + '_'.join(self.field_access)
-
83 self.field_access = None
-
84 return tree
-
85
+
+
35 def portbinding(self, tree):
+
36 # For now we just move port binding as is
+
37 # self.is_port_binding = True
+
38 # port_binding_module = self._get_port_binding_moduel(tree)
+
39 # self.__push_up(tree)
+
40 # self.is_port_binding = False
+
41 # self.port_binding_module = None
+
42 return tree
+
43
-
-
86 def idlit(self, tree):
-
87 str_literal = tree.children[0]
-
88 return str_literal
-
89
+
+
44 def hfieldaccess(self, tree):
+
45 """
+
46 hFieldaccess NONAME [
+
47 hBinop ARRAYSUBSCRIPT [
+
48 hBinop ARRAYSUBSCRIPT [
+
49 hVarref pa_scclang_global_15 NOLIST
+
50 hVarref sig_scclang_global_1 NOLIST
+
51 ]
+
52 hVarref sig_scclang_global_1 NOLIST
+
53 ]
+
54 hField x NOLIST
+
55 ]
+
56 """
+
57 # Field access is dedicated to struct, so no need to worry about the module
+
58 field_name = tree.children[1].children[0]
+
59 if self.field_access is not None:
+
60 self.field_access.append(field_name)
+
61 else:
+
62 self.field_access = [field_name]
+
63 self.__push_up(tree)
+
64 return tree.children[0]
+
65
+
66
-
-
90 def numlit(self, tree):
-
91 num_literal = int(tree.children[0])
-
92 return num_literal
-
93
+
+
67 def hvarref(self, tree):
+
68 if '##' in tree.children[0]:
+
69 orig_token = tree.children[0]
+
70 new_val = ''
+
71 parts = orig_token.split('##')
+
72 start = self.current_module
+
73 # if self.is_port_binding: # if we are in port binding, we shall take the ids directly
+
74 # start = self.port_binding_module
+
75 if start in self.structure:
+
76 m = self.structure[start]
+
77 end_point = False
+
78 else:
+
79 m = {}
+
80 end_point = True
+
81 for part in parts[:-1]:
+
82 new_val += part
+
83 if not end_point:
+
84 if part in m:
+
85 delim = '.'
+
86 m = self.structure[str(m[part])]
+
87 else:
+
88 end_point = True
+
89 delim = '_'
+
90 else:
+
91 delim = '_'
+
92 new_val += delim
+
93 new_val += parts[-1]
+
94
+
95 # new_val = tree.children[0].value.replace('##', '_')
+
96 new_token = Token('ID', new_val)
+
97 tree.children[0] = new_token
+
98 if self.field_access is not None:
+
99 tree.children[0] += '_' + '_'.join(self.field_access)
+
100 self.field_access = None
+
101 return tree
+
102
-
-
94 def numlitwidth(self, tree):
-
95 self.__push_up(tree)
-
96 tree.children[0] = int(tree.children[0]) # only supports decimal literals and primitive types for now
-
97 return tree
-
98
+
+
103 def idlit(self, tree):
+
104 str_literal = tree.children[0]
+
105 return str_literal
+
106
-
-
99 def htypeint(self, tree):
-
100 return int(tree.children[0])
-
101
+
+
107 def numlit(self, tree):
+
108 num_literal = int(tree.children[0])
+
109 return num_literal
+
110
-
-
102 def htype(self, tree):
-
103 self.__push_up(tree)
-
104 if len(tree.children) == 1 and isinstance(tree.children[0], int):
-
105 return tree.children[0]
-
106 else:
-
107 return tree
-
108
+
+
111 def numlitwidth(self, tree):
+
112 self.__push_up(tree)
+
113 tree.children[0] = int(tree.children[0]) # only supports decimal literals and primitive types for now
+
114 return tree
+
115
-
-
109 def hsensvar(self, tree):
-
110 self.__push_up(tree)
-
111 if len(tree.children) == 1 and is_tree_type(tree.children[0], 'hasync'):
-
112 tree.children = tree.children[0].children
-
113 elif len(tree.children) != 2:
-
114 raise UnexpectedHCodeStructureError('hSensvar node should have 2 children')
-
115 return tree
-
116
+
+
116 def htypeint(self, tree):
+
117 return int(tree.children[0])
+
118
-
-
117 def hasync(self, tree):
-
118 self.__push_up(tree)
-
119 assert len(tree.children) == 2
-
120 if tree.children[1].children[0] == 0: # negedge
-
121 tree.children[1] = Token('NEG', 'neg')
-
122 elif tree.children[1].children[0] == 1:
-
123 tree.children[1] = Token('POS', 'pos')
-
124 return tree
+
+
119 def htype(self, tree):
+
120 self.__push_up(tree)
+
121 if len(tree.children) == 1 and isinstance(tree.children[0], int):
+
122 return tree.children[0]
+
123 else:
+
124 return tree
125
-
126 def npa(self, tree):
-
127 return tree.children[0]
-
128
+
126 def hsensvar(self, tree):
+
127 self.__push_up(tree)
+
128 if len(tree.children) == 1 and is_tree_type(tree.children[0], 'hasync'):
+
129 tree.children = tree.children[0].children
+
130 elif len(tree.children) != 2:
+
131 raise UnexpectedHCodeStructureError('hSensvar node should have 2 children')
+
132 return tree
+
133
+
+
+
134 def hasync(self, tree):
+
135 self.__push_up(tree)
+
136 assert len(tree.children) == 2
+
137 if tree.children[1].children[0] == 0: # negedge
+
138 tree.children[1] = Token('NEG', 'neg')
+
139 elif tree.children[1].children[0] == 1:
+
140 tree.children[1] = Token('POS', 'pos')
+
141 return tree
+
142
+
+
+
143 def npa(self, tree):
+
144 return tree.children[0]
+
145
+
+
+
146 def hmodule(self, tree):
+
147 self.current_module = tree.children[0]
+
148 self.__push_up(tree)
+
149 self.current_module = None
+
150 return tree
+
151
+
152
+
+
+
+ +
+
154 def __init__(self):
+
155 super().__init__()
+
156
+
+
+
157 def hvarref(self, tree):
+
158 if isinstance(tree.children[0], Token) and '##' in tree.children[0].value:
+
159 tree.children[0] = Token('ID', tree.children[0].value.replace('##', '_'))
+
160 return tree
+
161
+
+
+
162 def handle_list(self, lst):
+
163 for idx in range(len(lst)):
+
164 if isinstance(lst[idx], lark.Tree):
+
165 self.__push_up(lst[idx])
+
166
-
-
129 def hmodule(self, tree):
-
130 self.current_module = tree.children[0]
-
131 self.__push_up(tree)
-
132 self.current_module = None
-
133 return tree
+
+
167 def portbindinglist(self, tree):
+
168 for c in tree.children:
+
169 if isinstance(c, list):
+
170 self.handle_list(c)
+
171 return tree
- - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + +
__push_up(self, current_node)
Definition top_down.py:29
+
diff --git a/menu.js b/menu.js index 717761d0..0fd1e990 100644 --- a/menu.js +++ b/menu.js @@ -22,7 +22,7 @@ @licend The above is the entire license notice for the JavaScript code in this file */ -function initMenu(relPath,searchEnabled,serverSide,searchPage,search) { +function initMenu(relPath,searchEnabled,serverSide,searchPage,search,treeview) { function makeTree(data,relPath) { let result=''; if ('children' in data) { @@ -91,7 +91,7 @@ function initMenu(relPath,searchEnabled,serverSide,searchPage,search) { let prevWidth = 0; if ($mainMenuState.length) { const initResizableIfExists = function() { - if (typeof initResizable==='function') initResizable(); + if (typeof initResizable==='function') initResizable(treeview); } // animate mobile menu $mainMenuState.change(function() { diff --git a/name__stub_8py.html b/name__stub_8py.html index 4344ee7f..8a5aaa62 100644 --- a/name__stub_8py.html +++ b/name__stub_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/name_stub.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -96,17 +109,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.name_stub
namespace  parselib.transforms.name_stub
 
+
diff --git a/name__stub_8py_source.html b/name__stub_8py_source.html index 91b59ca0..ed3421f0 100644 --- a/name__stub_8py_source.html +++ b/name__stub_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/name_stub.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
name_stub.py
@@ -148,7 +156,8 @@
+
diff --git a/namespaceclang.html b/namespaceclang.html index 8ffaace5..ea61f400 100644 --- a/namespaceclang.html +++ b/namespaceclang.html @@ -3,13 +3,15 @@ - + systemc-clang: clang Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
clang Namespace Reference
@@ -87,7 +100,8 @@
+
diff --git a/namespacehcode2verilog.html b/namespacehcode2verilog.html index e6608cb1..5f0dbf46 100644 --- a/namespacehcode2verilog.html +++ b/namespacehcode2verilog.html @@ -3,13 +3,15 @@ - + systemc-clang: hcode2verilog Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
diff --git a/namespacehnode.html b/namespacehnode.html index b36c1828..2030d8cd 100644 --- a/namespacehnode.html +++ b/namespacehnode.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -263,7 +276,8 @@

+

diff --git a/namespacemembers.html b/namespacemembers.html index d5040555..a1d03659 100644 --- a/namespacemembers.html +++ b/namespacemembers.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace Members + + @@ -32,24 +34,35 @@
- + +
+
+
- g -

  • get_argv() : systemc-clang
  • get_ids_in_tree() : parselib.utils
  • get_ids_in_tree_dfs() : parselib.utils
  • +
  • get_ids_in_tree_types() : parselib.utils
  • +
  • get_tree_types() : parselib.utils
  • getAllBaseClasses() : sc_ast_matchers::utils
  • getAllBaseClassNames() : sc_ast_matchers::utils
  • getArrayInstanceIndex() : sc_ast_matchers::utils::array_type
  • @@ -141,6 +156,8 @@

    - h -

      - i -

      • IndexMapType : sc_ast_matchers::utils::array_type
      • IndexPairType : sc_ast_matchers::utils::array_type
      • +
      • interface_arg : parselib.transforms.verilog_tranlation
      • +
      • interface_inst_arg : parselib.transforms.verilog_tranlation
      • invoke_sysc() : systemc-clang
      • invoke_translation() : systemc-clang
      • is_numeric() : systemc_hdl
      • @@ -160,8 +177,11 @@

        - l -

          - m -

          @@ -179,6 +199,7 @@

          - o -

            - p -

            @@ -202,6 +223,7 @@

            - s -

              - t -

                +
              • terminate_with_no_trace() : parselib.utils
              • tidify() : parselib.utils
              • to_string() : systemc_clang
              • toString() : systemc_clang::utils::apint
              • @@ -210,7 +232,8 @@

                - t -

                  + diff --git a/namespacemembers_enum.html b/namespacemembers_enum.html index 7d4f5c81..f32de181 100644 --- a/namespacemembers_enum.html +++ b/namespacemembers_enum.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace Members + + @@ -32,24 +34,35 @@ - + + +
                  +
                  +
                  diff --git a/namespacemembers_eval.html b/namespacemembers_eval.html index a82e1c8e..b967baf2 100644 --- a/namespacemembers_eval.html +++ b/namespacemembers_eval.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace Members + + @@ -32,24 +34,35 @@
                  - + + +
                  +
                  +
                  diff --git a/namespacemembers_func.html b/namespacemembers_func.html index 07b87b53..28876662 100644 --- a/namespacemembers_func.html +++ b/namespacemembers_func.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace Members + + @@ -32,24 +34,35 @@
                  - + + +
                  +
                  - g -

  • get_argv() : systemc-clang
  • get_ids_in_tree() : parselib.utils
  • get_ids_in_tree_dfs() : parselib.utils
  • +
  • get_ids_in_tree_types() : parselib.utils
  • +
  • get_tree_types() : parselib.utils
  • getAllBaseClasses() : sc_ast_matchers::utils
  • getAllBaseClassNames() : sc_ast_matchers::utils
  • getArrayInstanceIndex() : sc_ast_matchers::utils::array_type
  • @@ -130,6 +145,7 @@

    - i -

      - m -

      @@ -156,6 +172,7 @@

      - s -

        - t -

          +
        • terminate_with_no_trace() : parselib.utils
        • tidify() : parselib.utils
        • to_string() : systemc_clang
        • toString() : systemc_clang::utils::apint
        • @@ -164,7 +181,8 @@

          - t -

            + diff --git a/namespacemembers_type.html b/namespacemembers_type.html index 0ad3c2a7..88380767 100644 --- a/namespacemembers_type.html +++ b/namespacemembers_type.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace Members + + @@ -32,24 +34,35 @@ - + + +
            +
            +
            diff --git a/namespacemembers_vars.html b/namespacemembers_vars.html index 21a4b31c..f00d7b12 100644 --- a/namespacemembers_vars.html +++ b/namespacemembers_vars.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace Members + + @@ -32,24 +34,35 @@
            - + + +
            +
            default : systemc-clang
          • file : systemc_clang
          • help : systemc-clang
          • +
          • interface_arg : parselib.transforms.verilog_tranlation
          • +
          • interface_inst_arg : parselib.transforms.verilog_tranlation
          • lark_grammar : parselib.grammar
          • level : hcode2verilog
          • +
          • module_arg : parselib.transforms.verilog_tranlation
          • +
          • module_inst_arg : parselib.transforms.verilog_tranlation
          • parser : systemc-clang
          • +
          • port_decl_inst_arg : parselib.transforms.verilog_tranlation
          + diff --git a/namespaceparselib.html b/namespaceparselib.html index 499307b9..58e05ea4 100644 --- a/namespaceparselib.html +++ b/namespaceparselib.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib Namespace Reference + + @@ -32,23 +34,33 @@ - + + +
          +
          @@ -80,21 +93,22 @@ - + - + - + - + - +

          Namespaces

          namespace  compound
          namespace  compound
           
          namespace  grammar
          namespace  grammar
           
          namespace  primitives
          namespace  primitives
           
          namespace  transforms
          namespace  transforms
           
          namespace  utils
          namespace  utils
           
          +
          diff --git a/namespaceparselib_1_1compound.html b/namespaceparselib_1_1compound.html index 0a67bb37..7cac05df 100644 --- a/namespaceparselib_1_1compound.html +++ b/namespaceparselib_1_1compound.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.compound Namespace Reference + + @@ -32,23 +34,33 @@ - + + +
        +
        @@ -91,7 +104,8 @@
        Compound type
        +
        diff --git a/namespaceparselib_1_1grammar.html b/namespaceparselib_1_1grammar.html index ec662fd4..8cbb250f 100644 --- a/namespaceparselib_1_1grammar.html +++ b/namespaceparselib_1_1grammar.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.grammar Namespace Reference + + @@ -32,23 +34,33 @@ - + + +
      +
      Classes | @@ -113,7 +126,8 @@

      +

      diff --git a/namespaceparselib_1_1primitives.html b/namespaceparselib_1_1primitives.html index deb46fce..51df6bc5 100644 --- a/namespaceparselib_1_1primitives.html +++ b/namespaceparselib_1_1primitives.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.primitives Namespace Reference + + @@ -32,23 +34,33 @@
      - + + +
    +
    @@ -153,7 +166,8 @@
    Primitive types from System C and C++
    +
    diff --git a/namespaceparselib_1_1transforms.html b/namespaceparselib_1_1transforms.html index b40c4528..0cb623c3 100644 --- a/namespaceparselib_1_1transforms.html +++ b/namespaceparselib_1_1transforms.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms Namespace Reference + + @@ -32,23 +34,33 @@ - + + +
+
@@ -84,57 +97,64 @@ - + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - +

Namespaces

namespace  alias_translation
namespace  alias_translation
 
namespace  comma_transformation
 
namespace  function_info_pass
 
namespace  function_param_marker
 
namespace  comma_transformation
namespace  function_transformation_pass
 
namespace  function_info_pass
namespace  helpers
 
namespace  function_param_marker
namespace  interface_generation
 
namespace  function_transformation_pass
namespace  literal_expansion
 
namespace  helpers
namespace  name_stub
 
namespace  literal_expansion
namespace  node
 
namespace  name_stub
namespace  node_merge
 
namespace  node
namespace  node_movement
 
namespace  node_merge
namespace  passes
 
namespace  node_movement
namespace  port_expansion
 
namespace  passes
namespace  portbinding_recollect
 
namespace  port_expansion
namespace  reorder_mod_init_block
 
namespace  reorder_mod_init_block
namespace  sensevar_movement
 
namespace  slice_merge
namespace  slice_merge
 
namespace  sort_var_decl
namespace  sort_var_decl
 
namespace  structure_collector
namespace  structure_collector
 
namespace  top_down
namespace  top_down
 
namespace  type_collector
namespace  type_collector
 
namespace  type_node
namespace  type_node
 
namespace  typedef_expansion
namespace  typedef_expansion
 
namespace  typedef_filter
namespace  typedef_filter
 
namespace  verilog_tranlation
namespace  verilog_tranlation
 
+
diff --git a/namespaceparselib_1_1transforms_1_1alias__translation.html b/namespaceparselib_1_1transforms_1_1alias__translation.html index 81105681..a9ccf41a 100644 --- a/namespaceparselib_1_1transforms_1_1alias__translation.html +++ b/namespaceparselib_1_1transforms_1_1alias__translation.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.alias_translation Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1comma__transformation.html b/namespaceparselib_1_1transforms_1_1comma__transformation.html index e7bd7be5..9a015f5d 100644 --- a/namespaceparselib_1_1transforms_1_1comma__transformation.html +++ b/namespaceparselib_1_1transforms_1_1comma__transformation.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.comma_transformation Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1function__info__pass.html b/namespaceparselib_1_1transforms_1_1function__info__pass.html index 59b40738..36c3f5a5 100644 --- a/namespaceparselib_1_1transforms_1_1function__info__pass.html +++ b/namespaceparselib_1_1transforms_1_1function__info__pass.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_info_pass Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -93,7 +106,8 @@
collect function declaration information and invocation information in processes
+
diff --git a/namespaceparselib_1_1transforms_1_1function__param__marker.html b/namespaceparselib_1_1transforms_1_1function__param__marker.html index 9a4dcc29..915b75ee 100644 --- a/namespaceparselib_1_1transforms_1_1function__param__marker.html +++ b/namespaceparselib_1_1transforms_1_1function__param__marker.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_param_marker Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1function__transformation__pass.html b/namespaceparselib_1_1transforms_1_1function__transformation__pass.html index a865a1fe..e9434548 100644 --- a/namespaceparselib_1_1transforms_1_1function__transformation__pass.html +++ b/namespaceparselib_1_1transforms_1_1function__transformation__pass.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.function_transformation_pass Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -91,7 +104,8 @@
transform functions such that have separate the parameters where input and output are separate
+
diff --git a/namespaceparselib_1_1transforms_1_1helpers.html b/namespaceparselib_1_1transforms_1_1helpers.html index 0f508c12..315f235f 100644 --- a/namespaceparselib_1_1transforms_1_1helpers.html +++ b/namespaceparselib_1_1transforms_1_1helpers.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.helpers Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.helpers Namespace Reference
@@ -84,7 +97,8 @@
+ diff --git a/namespaceparselib_1_1transforms_1_1interface__generation.html b/namespaceparselib_1_1transforms_1_1interface__generation.html new file mode 100644 index 00000000..bcf0a63a --- /dev/null +++ b/namespaceparselib_1_1transforms_1_1interface__generation.html @@ -0,0 +1,116 @@ + + + + + + + +systemc-clang: parselib.transforms.interface_generation Namespace Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.interface_generation Namespace Reference
+
+
+ + + + + + + + + + +

+Classes

class  Interface
 
class  InterfaceGeneration
 
class  InterfaceReplacement
 
class  PortDecl
 
+
+ + +
+ + diff --git a/namespaceparselib_1_1transforms_1_1literal__expansion.html b/namespaceparselib_1_1transforms_1_1literal__expansion.html index 7789b3c8..d2115cbe 100644 --- a/namespaceparselib_1_1transforms_1_1literal__expansion.html +++ b/namespaceparselib_1_1transforms_1_1literal__expansion.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.literal_expansion Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -86,11 +99,14 @@ Classes

class  LiteralExpansion   +class  LiteralExpansion2 + diff --git a/namespaceparselib_1_1transforms_1_1name__stub.html b/namespaceparselib_1_1transforms_1_1name__stub.html index 8217a07c..017d894a 100644 --- a/namespaceparselib_1_1transforms_1_1name__stub.html +++ b/namespaceparselib_1_1transforms_1_1name__stub.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.name_stub Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -94,7 +107,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1node.html b/namespaceparselib_1_1transforms_1_1node.html index 5869b4e3..a7d76df1 100644 --- a/namespaceparselib_1_1transforms_1_1node.html +++ b/namespaceparselib_1_1transforms_1_1node.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -100,7 +113,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1node__merge.html b/namespaceparselib_1_1transforms_1_1node__merge.html index 52afb5cf..44e6ad92 100644 --- a/namespaceparselib_1_1transforms_1_1node__merge.html +++ b/namespaceparselib_1_1transforms_1_1node__merge.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node_merge Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1node__movement.html b/namespaceparselib_1_1transforms_1_1node__movement.html index 2dda6963..fe1ad4b4 100644 --- a/namespaceparselib_1_1transforms_1_1node__movement.html +++ b/namespaceparselib_1_1transforms_1_1node__movement.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.node_movement Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -84,13 +97,16 @@ + +

Classes

class  ArrayPortMovement
 
class  NodeMovement
 
+
diff --git a/namespaceparselib_1_1transforms_1_1passes.html b/namespaceparselib_1_1transforms_1_1passes.html index d64da875..ae4675d9 100644 --- a/namespaceparselib_1_1transforms_1_1passes.html +++ b/namespaceparselib_1_1transforms_1_1passes.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.passes Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -84,6 +97,8 @@ + +

Classes

class  PrettyPrintModule
 
class  VerilogTranslator
 
@@ -91,7 +106,8 @@
Different pass of the translation
+
diff --git a/namespaceparselib_1_1transforms_1_1port__expansion.html b/namespaceparselib_1_1transforms_1_1port__expansion.html index 39ad1aa2..008d3e1b 100644 --- a/namespaceparselib_1_1transforms_1_1port__expansion.html +++ b/namespaceparselib_1_1transforms_1_1port__expansion.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.port_expansion Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1portbinding__recollect.html b/namespaceparselib_1_1transforms_1_1portbinding__recollect.html new file mode 100644 index 00000000..216db559 --- /dev/null +++ b/namespaceparselib_1_1transforms_1_1portbinding__recollect.html @@ -0,0 +1,116 @@ + + + + + + + +systemc-clang: parselib.transforms.portbinding_recollect Namespace Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.portbinding_recollect Namespace Reference
+
+
+ + + + + + + + + + +

+Classes

class  LowerComplexPort
 
class  PortbindingPrecheck
 
class  PortbindingRecollect
 
class  PortDirectionCollector
 
+
+ + +
+ + diff --git a/namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html b/namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html index 2eae8a22..5c78cb57 100644 --- a/namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html +++ b/namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.reorder_mod_init_block Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1sensevar__movement.html b/namespaceparselib_1_1transforms_1_1sensevar__movement.html new file mode 100644 index 00000000..afae5da1 --- /dev/null +++ b/namespaceparselib_1_1transforms_1_1sensevar__movement.html @@ -0,0 +1,110 @@ + + + + + + + +systemc-clang: parselib.transforms.sensevar_movement Namespace Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
parselib.transforms.sensevar_movement Namespace Reference
+
+
+ + + + +

+Classes

class  SensevarMovement
 
+
+ + +
+ + diff --git a/namespaceparselib_1_1transforms_1_1slice__merge.html b/namespaceparselib_1_1transforms_1_1slice__merge.html index 4a6cb2b0..d289a1af 100644 --- a/namespaceparselib_1_1transforms_1_1slice__merge.html +++ b/namespaceparselib_1_1transforms_1_1slice__merge.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.slice_merge Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1sort__var__decl.html b/namespaceparselib_1_1transforms_1_1sort__var__decl.html index d952d7cb..eb17069a 100644 --- a/namespaceparselib_1_1transforms_1_1sort__var__decl.html +++ b/namespaceparselib_1_1transforms_1_1sort__var__decl.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.sort_var_decl Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1structure__collector.html b/namespaceparselib_1_1transforms_1_1structure__collector.html index 6de9b336..43e3aa44 100644 --- a/namespaceparselib_1_1transforms_1_1structure__collector.html +++ b/namespaceparselib_1_1transforms_1_1structure__collector.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.structure_collector Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1top__down.html b/namespaceparselib_1_1transforms_1_1top__down.html index b4238370..0b84624a 100644 --- a/namespaceparselib_1_1transforms_1_1top__down.html +++ b/namespaceparselib_1_1transforms_1_1top__down.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.top_down Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -118,7 +131,8 @@

+

diff --git a/namespaceparselib_1_1transforms_1_1type__collector.html b/namespaceparselib_1_1transforms_1_1type__collector.html index 7bd29a41..e2a672be 100644 --- a/namespaceparselib_1_1transforms_1_1type__collector.html +++ b/namespaceparselib_1_1transforms_1_1type__collector.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.type_collector Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1type__node.html b/namespaceparselib_1_1transforms_1_1type__node.html index 077a733c..fd2e235c 100644 --- a/namespaceparselib_1_1transforms_1_1type__node.html +++ b/namespaceparselib_1_1transforms_1_1type__node.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.type_node Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1typedef__expansion.html b/namespaceparselib_1_1transforms_1_1typedef__expansion.html index 3e81d869..7c3d5e62 100644 --- a/namespaceparselib_1_1transforms_1_1typedef__expansion.html +++ b/namespaceparselib_1_1transforms_1_1typedef__expansion.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.typedef_expansion Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -90,7 +103,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1typedef__filter.html b/namespaceparselib_1_1transforms_1_1typedef__filter.html index 2c274492..5e6ee2a9 100644 --- a/namespaceparselib_1_1transforms_1_1typedef__filter.html +++ b/namespaceparselib_1_1transforms_1_1typedef__filter.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.typedef_filter Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -92,7 +105,8 @@
+
diff --git a/namespaceparselib_1_1transforms_1_1verilog__tranlation.html b/namespaceparselib_1_1transforms_1_1verilog__tranlation.html index 27cad639..a5df6799 100644 --- a/namespaceparselib_1_1transforms_1_1verilog__tranlation.html +++ b/namespaceparselib_1_1transforms_1_1verilog__tranlation.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.transforms.verilog_tranlation Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
parselib.transforms.verilog_tranlation Namespace Reference
@@ -86,11 +100,106 @@ Classes

class  VerilogTranslationPass   + + + + + + + + + + + +

+Variables

str module_arg = ''
 
str module_inst_arg = ''
 
str interface_arg = ''
 
str interface_inst_arg = ''
 
str port_decl_inst_arg = ''
 
+

Variable Documentation

+ +

◆ interface_arg

+ +
+
+ + + + +
str parselib.transforms.verilog_tranlation.interface_arg = ''
+
+ +

Definition at line 15 of file verilog_tranlation.py.

+ +
+
+ +

◆ interface_inst_arg

+ +
+
+ + + + +
str parselib.transforms.verilog_tranlation.interface_inst_arg = ''
+
+ +

Definition at line 16 of file verilog_tranlation.py.

+ +
+
+ +

◆ module_arg

+ +
+
+ + + + +
str parselib.transforms.verilog_tranlation.module_arg = ''
+
+ +

Definition at line 13 of file verilog_tranlation.py.

+ +
+
+ +

◆ module_inst_arg

+ +
+
+ + + + +
str parselib.transforms.verilog_tranlation.module_inst_arg = ''
+
+ +

Definition at line 14 of file verilog_tranlation.py.

+ +
+
+ +

◆ port_decl_inst_arg

+ +
+
+ + + + +
str parselib.transforms.verilog_tranlation.port_decl_inst_arg = ''
+
+ +

Definition at line 17 of file verilog_tranlation.py.

+ +
+
+ diff --git a/namespaceparselib_1_1utils.html b/namespaceparselib_1_1utils.html index bd2c2edb..311ed0f6 100644 --- a/namespaceparselib_1_1utils.html +++ b/namespaceparselib_1_1utils.html @@ -3,13 +3,15 @@ - + systemc-clang: parselib.utils Namespace Reference + + @@ -32,23 +34,33 @@ - + + + +
parselib.utils Namespace Reference
+ + + +

+Classes

class  ContextManager
 
@@ -91,18 +110,26 @@ + + + + + + + +

Functions

 tidify (verilog, current_indent=0, indent_width=2)
 
 dprint (*arg, **kwargs)
 
 terminate_with_no_trace ()
 
 is_tree_type (t, name)
 
 is_tree_types (t, names)
 
 get_ids_in_tree (tree)
 
 get_ids_in_tree_types (tree, types=['hvarref'])
 
 get_tree_types (tree, types=['hvarref'])
 
 get_ids_in_tree_dfs (tree)
 
 set_ids_in_tree_dfs (tree, ids)
 
 alternate_ids (tree, ops)
 
 map_hvarref_ids (tree, ops)
 
@@ -120,7 +147,7 @@

parselib.utils.alternate_ids

- + @@ -130,7 +157,7 @@

Change the ids within a tree, given operations ops as an array of lambdas
-

Definition at line 119 of file utils.py.

+

Definition at line 144 of file utils.py.

@@ -143,7 +170,7 @@

parselib.utils.dprint

- + @@ -172,7 +199,7 @@

get all ids
-

Definition at line 62 of file utils.py.

+

Definition at line 64 of file utils.py.

@@ -191,7 +218,53 @@

get all ids
-

Definition at line 75 of file utils.py.

+

Definition at line 100 of file utils.py.

+ + + + +

◆ get_ids_in_tree_types()

+ +
+
+

Variables

( tree, tree,
(* arg, * arg,
+ + + + + + + + + + +
parselib.utils.get_ids_in_tree_types ( tree,
types = ['hvarref'] )
+
+
get all ids
+

Definition at line 76 of file utils.py.

+ +
+
+ +

◆ get_tree_types()

+ +
+
+ + + + + + + + + + + +
parselib.utils.get_tree_types ( tree,
types = ['hvarref'] )
+
+
get all ids
+

Definition at line 89 of file utils.py.

@@ -204,7 +277,7 @@

parselib.utils.is_tree_type ( - t, + t, @@ -214,7 +287,7 @@

Check whether t is lark Tree and whether the tree type is name
-

Definition at line 50 of file utils.py.

+

Definition at line 52 of file utils.py.

@@ -227,7 +300,7 @@

parselib.utils.is_tree_types ( - t, + t, @@ -237,7 +310,30 @@

Check whether t is lark Tree and whether the tree type is name
-

Definition at line 55 of file utils.py.

+

Definition at line 57 of file utils.py.

+ + + + +

◆ map_hvarref_ids()

+ +
+
+ + + + + + + + + + + +
parselib.utils.map_hvarref_ids ( tree,
ops )
+
+
get all and apply mapping function
+

Definition at line 152 of file utils.py.

@@ -269,7 +365,7 @@

parselib.utils.set_ids_in_tree_dfs ( - tree, + tree, @@ -279,7 +375,26 @@

-

Definition at line 103 of file utils.py.

+

Definition at line 128 of file utils.py.

+ + + + +

◆ terminate_with_no_trace()

+ +
+
+ + + + + + + +
parselib.utils.terminate_with_no_trace ()
+
+ +

Definition at line 49 of file utils.py.

@@ -292,17 +407,17 @@

parselib.utils.tidify ( - verilog, + verilog, - current_indent = 0, + current_indent = 0, - indent_width = 2 ) + indent_width = 2 )
@@ -331,7 +446,8 @@

+

diff --git a/namespaces.html b/namespaces.html index ae9105d2..82ca7dab 100644 --- a/namespaces.html +++ b/namespaces.html @@ -3,13 +3,15 @@ - + systemc-clang: Namespace List + + @@ -32,24 +34,35 @@ - + + +
+
Here is a list of all namespaces with brief descriptions:
-
[detail level 1234]
+
[detail level 123]
- - - - - - - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + +
 NclangClang forward declarations
 Nhcode2verilog
 Nhnode
 Chfunc_name_map_t
 ChNode
 Cname_serve
 Cnames_t
 Cnewname_map_t
 Cutil
 Nhnode
 Nparselib
 Ncompound
 Ngrammar
 Nprimitives
 Ntransforms
 Ncompound
 Ngrammar
 Nprimitives
 Ntransforms
 Nalias_translation
 Ncomma_transformation
 Nfunction_info_pass
 Nfunction_param_marker
 Nfunction_transformation_pass
 Nhelpers
 Ninterface_generation
 Nliteral_expansion
 Nname_stub
 Nnode
 Nnode_merge
 Nnode_movement
 Npasses
 Nport_expansion
 Nportbinding_recollect
 Nreorder_mod_init_block
 Nsensevar_movement
 Nslice_merge
 Nsort_var_decl
 Nstructure_collector
 Ntop_down
 Ntype_collector
 Ntype_node
 Ntypedef_expansion
 Ntypedef_filter
 Nverilog_tranlation
 Nutils
 Nsc_ast_matchers
 Nutils
 CCallerCalleeMatcher
 CFindMemberFieldMatcher
 CInstanceArgumentMatcher
 CInstanceMatcherClass InstanceMatcher
 CModuleDeclarationMatcherClass ModuleDeclarationMatcher
 CModuleInstanceType
 CNetlistMatcher
 CPortMatcherClass PortMatcher
 CResetMatcher
 CSensitiveOperatorCallMatcher
 CSensitivityMatcherClass SensitivityMatcher
 Nutils
 Narray_type
 Nsystemc-clang
 Nsystemc-clang
 CInvalidCommandlineFormat
 CMissingSystemCClang
 CSystemCClang
 CSystemCClangFatalError
 CUnconfiguredEnvironment
 Nsystemc_clang
 Nutils
 CBreakMatcher
 CEdge
 CEntryFunctionContainer
 CEventContainer
 CEventDecl
 CFindArgument
 CFindConstructor
 CFindEntryFunctions
 CFindEvents
 CFindGlobalEvents
 CFindGPUMacro
 CFindNetlist
 CFindNotify
 CFindSCMain
 CFindSimTime
 CFindTemplateParameters
 CFindTemplateTypes
 CFindTLMInterfaces
 CFindWait
 CGlobalSuspensionAutomata
 CGPUMacro
 CGraph
 CInterfaceDecl
 CModel
 CModuleInstanceForward declarations
 CNode
 CNotifyCalls
 CNotifyContainer
 CPluginAction
 CPortBinding
 CPortDecl
 CProcessDecl
 CSignalDecl
 CSplitCFG
 CSplitCFGBlock
 CSplitCFGPathInfo
 CState
 CSupplementaryInfo
 CSusCFG
 CSuspensionAutomata
 CSystemCClang
 CSystemCClangAXN
 CSystemCConsumerThis is the main consumer class that beings the parsing of SystemC
 CTemplateType
 CTransition
 CTree
 CTreeNodeClass TreeNode<T>
 CUtility
 CWaitCalls
 CWaitContainer
 Nsystemc_hdl
 CHDLAXN
 CHDLBody
 CHDLConstructorHcode
 CHDLFrontendActionFactory
 CHDLMain
 CHDLPluginAction
 CHDLThread
 CStmtVisitor
 Nsystemc-clang
 Nsystemc_clang
 Nutils
 Napint
 Nsystemc_hdl
+
diff --git a/namespacesc__ast__matchers.html b/namespacesc__ast__matchers.html index f868f716..8e59b870 100644 --- a/namespacesc__ast__matchers.html +++ b/namespacesc__ast__matchers.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
Namespaces | @@ -82,7 +95,7 @@ - +

Namespaces

namespace  utils
namespace  utils
 
- + - +

@@ -129,12 +142,12 @@

sc_ast_matchers::AST_MATCHER

(Type , Type ,
isDependentNameType  )isDependentNameType  )
@@ -146,7 +159,8 @@

+

diff --git a/namespacesc__ast__matchers_1_1utils.html b/namespacesc__ast__matchers_1_1utils.html index dcd00e13..d626dea5 100644 --- a/namespacesc__ast__matchers_1_1utils.html +++ b/namespacesc__ast__matchers_1_1utils.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::utils Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
Namespaces | @@ -87,7 +100,7 @@ - +

Namespaces

namespace  array_type
namespace  array_type
 
- + @@ -191,7 +204,7 @@

void sc_ast_matchers::utils::collect_sugar

- + @@ -341,7 +354,7 @@

bool sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall

- + @@ -383,7 +396,7 @@

bool sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall

- + @@ -408,7 +421,7 @@

bool sc_ast_matchers::utils::isInNamespace

- + @@ -431,7 +444,7 @@

bool sc_ast_matchers::utils::isInNamespace

- + @@ -452,7 +465,7 @@

bool sc_ast_matchers::utils::isInNamespace

- + @@ -473,7 +486,7 @@

bool sc_ast_matchers::utils::isInNamespace

- + @@ -497,7 +510,7 @@

bool sc_ast_matchers::utils::isInNamespace

- + @@ -520,7 +533,7 @@

bool sc_ast_matchers::utils::isInNamespace

- + @@ -543,7 +556,7 @@

bool sc_ast_matchers::utils::matchNames

- + @@ -564,7 +577,7 @@

bool sc_ast_matchers::utils::matchNames

- + @@ -581,7 +594,8 @@

+ diff --git a/namespacesc__ast__matchers_1_1utils_1_1array__type.html b/namespacesc__ast__matchers_1_1utils_1_1array__type.html index 47831838..ecfb009b 100644 --- a/namespacesc__ast__matchers_1_1utils_1_1array__type.html +++ b/namespacesc__ast__matchers_1_1utils_1_1array__type.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::utils::array_type Namespace Reference + + @@ -32,23 +34,33 @@

@@ -170,7 +183,7 @@

void sc_ast_matchers::utils::collect_sugar

(const clang::Type * type, const clang::Type * type,
(const Type * type, const Type * type,
(const clang::CallExpr * ce, const clang::CallExpr * ce,
(const clang::Type * type, const clang::Type * type,
(const CallExpr * cexpr, const CallExpr * cexpr,
(const clang::CallExpr * cexpr, const clang::CallExpr * cexpr,
(const clang::Expr * expr, const clang::Expr * expr,
(const clang::Type * tp, const clang::Type * tp,
(const clang::ValueDecl * fd, const clang::ValueDecl * fd,
(const Expr * expr, const Expr * expr,
(llvm::StringRef str, llvm::StringRef str,
(StringRef str, StringRef str,
- + + +
+
Typedefs | @@ -267,7 +280,8 @@

+

diff --git a/namespacesystemc-clang.html b/namespacesystemc-clang.html index 0a8bc300..76178db4 100644 --- a/namespacesystemc-clang.html +++ b/namespacesystemc-clang.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc-clang Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
diff --git a/namespacesystemc.html b/namespacesystemc.html index e647f9d8..b14ba04b 100644 --- a/namespacesystemc.html +++ b/namespacesystemc.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
systemc Namespace Reference
@@ -95,7 +108,8 @@
+
diff --git a/namespacesystemc__clang.html b/namespacesystemc__clang.html index 750c43d7..022e7650 100644 --- a/namespacesystemc__clang.html +++ b/namespacesystemc__clang.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
Namespaces | @@ -84,7 +97,7 @@ - +

Namespaces

namespace  utils
namespace  utils
 
- + @@ -386,7 +399,8 @@

+ diff --git a/namespacesystemc__clang_1_1utils.html b/namespacesystemc__clang_1_1utils.html index e60b091f..d20d7d27 100644 --- a/namespacesystemc__clang_1_1utils.html +++ b/namespacesystemc__clang_1_1utils.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::utils Namespace Reference + + @@ -32,23 +34,33 @@

@@ -331,7 +344,7 @@

std::string systemc_clang::read_systemc_file

(std::string const data_dir, std::string const data_dir,
- + + +
+
@@ -84,13 +97,14 @@ - +

Namespaces

namespace  apint
namespace  apint
 
+
diff --git a/namespacesystemc__clang_1_1utils_1_1apint.html b/namespacesystemc__clang_1_1utils_1_1apint.html index 2b049af1..21810670 100644 --- a/namespacesystemc__clang_1_1utils_1_1apint.html +++ b/namespacesystemc__clang_1_1utils_1_1apint.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::utils::apint Namespace Reference + + @@ -32,23 +34,33 @@
- + + + +
@@ -113,7 +126,8 @@

+

diff --git a/namespacesystemc__hdl.html b/namespacesystemc__hdl.html index 55c6d55c..21cfc053 100644 --- a/namespacesystemc__hdl.html +++ b/namespacesystemc__hdl.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl Namespace Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -189,7 +202,8 @@

+

diff --git a/navtree.css b/navtree.css new file mode 100644 index 00000000..69211d4a --- /dev/null +++ b/navtree.css @@ -0,0 +1,149 @@ +#nav-tree .children_ul { + margin:0; + padding:4px; +} + +#nav-tree ul { + list-style:none outside none; + margin:0px; + padding:0px; +} + +#nav-tree li { + white-space:nowrap; + margin:0px; + padding:0px; +} + +#nav-tree .plus { + margin:0px; +} + +#nav-tree .selected { + background-image: url('tab_a.png'); + background-repeat:repeat-x; + color: var(--nav-text-active-color); + text-shadow: var(--nav-text-active-shadow); +} + +#nav-tree .selected .arrow { + color: var(--nav-arrow-selected-color); + text-shadow: none; +} + +#nav-tree img { + margin:0px; + padding:0px; + border:0px; + vertical-align: middle; +} + +#nav-tree a { + text-decoration:none; + padding:0px; + margin:0px; +} + +#nav-tree .label { + margin:0px; + padding:0px; + font: 12px var(--font-family-nav); +} + +#nav-tree .label a { + padding:2px; +} + +#nav-tree .selected a { + text-decoration:none; + color:var(--nav-text-active-color); +} + +#nav-tree .children_ul { + margin:0px; + padding:0px; +} + +#nav-tree .item { + margin:0px; + padding:0px; +} + +#nav-tree { + padding: 0px 0px; + font-size:14px; + overflow:auto; +} + +#doc-content { + overflow:auto; + display:block; + padding:0px; + margin:0px; + -webkit-overflow-scrolling : touch; /* iOS 5+ */ +} + +#side-nav { + padding:0 6px 0 0; + margin: 0px; + display:block; + position: absolute; + left: 0px; + width: $width; + overflow : hidden; +} + +.ui-resizable .ui-resizable-handle { + display:block; +} + +.ui-resizable-e { + background-image:var(--nav-splitbar-image); + background-size:100%; + background-repeat:repeat-y; + background-attachment: scroll; + cursor:ew-resize; + height:100%; + right:0; + top:0; + width:6px; +} + +.ui-resizable-handle { + display:none; + font-size:0.1px; + position:absolute; + z-index:1; +} + +#nav-tree-contents { + margin: 6px 0px 0px 0px; +} + +#nav-tree { + background-repeat:repeat-x; + background-color: var(--nav-background-color); + -webkit-overflow-scrolling : touch; /* iOS 5+ */ +} + +#nav-sync { + position:absolute; + top:5px; + right:24px; + z-index:0; +} + +#nav-sync img { + opacity:0.3; +} + +#nav-sync img:hover { + opacity:0.9; +} + +@media print +{ + #nav-tree { display: none; } + div.ui-resizable-handle { display: none; position: relative; } +} + diff --git a/node_8py.html b/node_8py.html index 1a7b9287..38443144 100644 --- a/node_8py.html +++ b/node_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/node.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -102,17 +115,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.node
namespace  parselib.transforms.node
 
+
diff --git a/node_8py_source.html b/node_8py_source.html index 2ab1a3a5..f148ac29 100644 --- a/node_8py_source.html +++ b/node_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/node.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
node.py
@@ -242,7 +250,8 @@
+ diff --git a/node__merge_8py.html b/node__merge_8py.html index b99b0b31..cb50ac8c 100644 --- a/node__merge_8py.html +++ b/node__merge_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/node_merge.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.node_merge
namespace  parselib.transforms.node_merge
 
+
diff --git a/node__merge_8py_source.html b/node__merge_8py_source.html index d6b74d1e..9ef2c88d 100644 --- a/node__merge_8py_source.html +++ b/node__merge_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/node_merge.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
node_merge.py
@@ -131,7 +139,8 @@
+ diff --git a/node__movement_8py.html b/node__movement_8py.html index 543e8c22..25c752ab 100644 --- a/node__movement_8py.html +++ b/node__movement_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/node_movement.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -89,20 +102,23 @@ Classes

class  parselib.transforms.node_movement.NodeMovement   +class  parselib.transforms.node_movement.ArrayPortMovement +  - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.node_movement
namespace  parselib.transforms.node_movement
 
+ diff --git a/node__movement_8py_source.html b/node__movement_8py_source.html index 75b46ed8..05cc4ff8 100644 --- a/node__movement_8py_source.html +++ b/node__movement_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/node_movement.py Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
node_movement.py
@@ -87,59 +95,143 @@ Go to the documentation of this file.
1from lark import Tree, Token
2from parselib.utils import dprint
3from parselib.transforms import TopDown
-
4
+
4from ..utils import ContextManager
5
-
- +
6
-
7 def __init__(self):
-
8 super().__init__()
-
9 # record function per module
-
10 self.functions = dict()
-
11 self.current_module = None
-
12
-
-
-
13 def hmodule(self, tree):
-
14 self.current_module = tree.children[0]
-
15 self.__push_up(tree)
-
16 functions = self.functions.get(self.current_module)
-
17 if functions:
-
18 for f in functions:
-
19 tree.children.append(f)
-
20 self.current_module = None
-
21 return tree
-
22
-
-
-
23 def __add_functions(self, functions):
-
24 res = self.functions.setdefault(self.current_module, [])
-
25 res.extend(functions)
-
26
-
-
-
27 def hprocess(self, tree):
-
28 functions = list(filter(lambda x: isinstance(x, Tree) and x.data == 'hfunction', tree.children))
-
29 tree.children = list(filter(lambda x: not (isinstance(x, Tree) and x.data == 'hfunction'), tree.children))
-
30 self.__add_functions(functions)
-
31 return tree
-
-
- - - - - - - + +
+
8 def __init__(self):
+
9 super().__init__()
+
10 # record function per module
+
11 self.functions = dict()
+
12 self.current_module = None
+
13
+
+
+
14 def hmodule(self, tree):
+
15 self.current_module = tree.children[0]
+
16 self.__push_up(tree)
+
17 functions = self.functions.get(self.current_module)
+
18 if functions:
+
19 for f in functions:
+
20 tree.children.append(f)
+
21 self.current_module = None
+
22 return tree
+
23
+
+
+
24 def __add_functions(self, functions):
+
25 res = self.functions.setdefault(self.current_module, [])
+
26 res.extend(functions)
+
27
+
+
+
28 def hprocess(self, tree):
+
29 functions = list(filter(lambda x: isinstance(x, Tree) and x.data == 'hfunction', tree.children))
+
30 tree.children = list(filter(lambda x: not (isinstance(x, Tree) and x.data == 'hfunction'), tree.children))
+
31 self.__add_functions(functions)
+
32 return tree
+
33
+
34
+
+
+
+ +
+
36 def __init__(self):
+ +
38 super().__init__()
+
39
+
+
+ +
41 assert tree.data == 'portdecltype', "Expecting portdecltype"
+
42 new_tree = Tree('sigdecltype', tree.children, meta=tree.meta)
+
43 new_tree.children[0] = Tree('sigdecl', [tree.children[0].children[0]])
+
44 new_tree.meta.direction = tree.direction
+
45 return new_tree
+
46
+
+
+
47 def modportsiglist(self, tree):
+
48 with self.ctx.add_values(port_decl_to_remove=[]):
+
49 self.__push_up(tree)
+
50 if self.ctx.port_decl_to_remove:
+
51 tree.children = list(filter(lambda x: x not in self.ctx.port_decl_to_remove, tree.children))
+
52 # move it to varlist
+
53 insertion_pos = -1
+
54 # find the last sigdecltype, or last portdecltype if no sigdecltype exists
+
55 for i in range(len(tree.children) - 1, -1, -1):
+
56 if tree.children[i].data == 'sigdecltype':
+
57 insertion_pos = i
+
58 break
+
59 elif tree.children[i].data == 'portdecltype':
+
60 insertion_pos = i
+
61 break
+
62 new_sig_decls = list(map(self.portdecltype_to_sigdecltype, self.ctx.port_decl_to_remove))
+
63 tree.children = tree.children[:insertion_pos + 1] + new_sig_decls + tree.children[insertion_pos + 1:]
+
64
+
65 # for port in self.ctx.port_decl_to_remove:
+
66 # tree.children.append(
+
67 # self.portdecltype_to_sigdecltype(port)
+
68 # )
+
69
+
70 return tree
+
71
+
+
+
72 def portdecltype(self, tree):
+
73 with self.ctx.add_values(current_port_decl_node=tree):
+
74 self.__push_up(tree)
+
75 return tree
+
76
+
+
+
77 def htype(self, tree):
+
78 # If this is a port array
+
79 if self.ctx.current_port_decl_node and tree.children[0] == 'array':
+
80 if self.ctx.current_port_decl_node.children[0].data == 'inportdecl':
+
81 with self.ctx.add_values(port_erasure=True):
+
82 self.__push_up(tree)
+
83 self.ctx.current_port_decl_node.direction = 'input'
+
84 self.ctx.port_decl_to_remove.append(self.ctx.current_port_decl_node)
+
85 elif self.ctx.current_port_decl_node.children[0].data == 'outportdecl':
+
86 self.ctx.current_port_decl_node.direction = 'output'
+
87 else:
+
88 raise ValueError("Unknown port type")
+
89 elif self.ctx.port_erasure:
+
90 if tree.children[0] in ['sc_in', 'sc_out']:
+
91 return tree.children[1]
+
92 return tree
+
93 return tree
+
94
+
+
+ + + + + + + + + + + + + +
__push_up(self, current_node)
Definition top_down.py:29
+
+ diff --git a/passes_8py.html b/passes_8py.html index b9d83bad..02302003 100644 --- a/passes_8py.html +++ b/passes_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/passes.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -87,22 +100,25 @@ + +

Classes

class  parselib.transforms.passes.PrettyPrintModule
 
class  parselib.transforms.passes.VerilogTranslator
 
- + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.passes
namespace  parselib.transforms.passes
 
+
diff --git a/passes_8py_source.html b/passes_8py_source.html index ceb1af30..8fa7d506 100644 --- a/passes_8py_source.html +++ b/passes_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/passes.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,64 +87,122 @@ +
passes.py
Go to the documentation of this file.
1"""Different pass of the translation"""
2from .alias_translation import AliasTranslation
-
3from .literal_expansion import LiteralExpansion
+
3from .literal_expansion import LiteralExpansion, LiteralExpansion2
4from .node_merge import NodeMergePass
5from .sort_var_decl import SortVarDecl
-
6from .typedef_expansion import TypedefExpansion
+
6from .typedef_expansion import TypedefExpansion #, BlkAssignTypeDefExpansion
7from .typedef_filter import TypeDefFilter, TypeDefCleanup
8from .verilog_tranlation import VerilogTranslationPass
9from .port_expansion import PortExpansion
10from .slice_merge import SliceMerge
-
11from .node_movement import NodeMovement
+
11from .node_movement import NodeMovement, ArrayPortMovement
12from .function_param_marker import FunctionParamMarker
13from .reorder_mod_init_block import ReorderModInitBlock
14from .function_info_pass import FunctionInfoPass, FunctionInfoPass2
15from .function_transformation_pass import FunctionTransformationPass
16from .comma_transformation import CommaTransformation
17from .structure_collector import StructureCollector
-
18
-
19from ..utils import dprint
-
20
+
18from .sensevar_movement import SensevarMovement
+
19from .portbinding_recollect import PortbindingRecollect, PortbindingPrecheck, PortDirectionCollector, LowerComplexPort
+
20from .interface_generation import InterfaceGeneration, InterfaceReplacement
21
-
- -
23 """Translate hcode to verilog"""
-
24 @staticmethod
-
-
25 def translate(tree):
-
26 # we need some form of `level' of the tree, lower level meaning it is loosing more information, but more lenient
-
27 # to hardware language
-
28 prev = tree
-
29 prev = ReorderModInitBlock().visit(prev)
-
30 prev = NodeMovement().visit(prev)
-
31 prev = SortVarDecl().visit(prev)
-
32 prev = AliasTranslation().visit(prev)
- -
34 sc.visit(prev)
-
35 prev = LiteralExpansion(structure=sc.hier).visit(prev)
-
36 prev = SliceMerge().visit(prev)
-
37 # prev = CommaTransformation().visit(prev)
-
38 # dprint(prev.pretty())
-
39 f = TypeDefFilter()
-
40 prev = f.visit(prev)
-
41 prev = NodeMergePass().visit(prev)
-
42 prev = PortExpansion().visit(prev)
-
43 # note typedef should be after port expansion to prevent duplicate valid/ready
-
44 prev = TypedefExpansion(f.types).visit(prev)
-
45 prev = FunctionInfoPass().visit(prev)
-
46 prev = FunctionInfoPass2().visit(prev)
-
47 prev = FunctionParamMarker().visit(prev)
-
48 prev = FunctionTransformationPass().visit(prev)
-
49 prev = TypeDefCleanup().visit(prev)
-
50 prev = VerilogTranslationPass().visit(prev)
-
51 return prev
-
52
+
22from ..utils import dprint, terminate_with_no_trace
+
23
+
24
+
25from parselib.transforms import TopDown
+
26
+
+ +
+
28 def __init__(self, name):
+
29 self.name = name
+
30
+
+
+
31 def hmodule(self, tree):
+
32 if tree.children[0].value == self.name:
+
33 dprint(tree.pretty())
+
34 assert False
+
35 return
+
36 return tree
+
37
+
38
+
+
+
+ +
40 """Translate hcode to verilog"""
+
41 @staticmethod
+
+
42 def translate(tree):
+
43 # we need some form of `level' of the tree, lower level meaning it is loosing more information, but more lenient
+
44 # to hardware language
+
45 prev = tree
+
46 prev = ReorderModInitBlock().visit(prev)
+
47 prev = NodeMovement().visit(prev)
+
48 prev = SortVarDecl().visit(prev)
+
49 prev = AliasTranslation().visit(prev)
+ +
51 sc.visit(prev)
+
52 prev = LiteralExpansion(structure=sc.hier).visit(prev)
+
53
+
54 prev = SliceMerge().visit(prev)
+
55 # prev = CommaTransformation().visit(prev)
+
56 # dprint(prev.pretty())
+
57 f = TypeDefFilter()
+
58 prev = f.visit(prev)
+
59 prev = NodeMergePass().visit(prev)
+
60 # prev = ArrayPortMovement().visit(prev)
+
61
+
62 prev = PortExpansion().visit(prev)
+
63 prev = TypedefExpansion(f.types).visit(prev)
+
64
+ +
66 prev = ig.visit(prev)
+
67 # terminate_with_no_trace()
+
68 # PrettyPrintModule('fifo_cc_sc_module_11').visit(prev)
+
69 # terminate_with_no_trace()
+
70 # prev = BlkAssignTypeDefExpansion(f.types).visit(prev)
+
71 prev = SensevarMovement().visit(prev)
+
72 prev = FunctionInfoPass().visit(prev)
+
73 prev = FunctionInfoPass2().visit(prev)
+
74 prev = FunctionParamMarker().visit(prev)
+
75 prev = FunctionTransformationPass().visit(prev)
+
76 prev = TypeDefCleanup().visit(prev)
+
77
+
78 # PrettyPrintModule('fifo_cc_sc_module_11').visit(prev)
+
79 # terminate_with_no_trace()
+
80
+
81 port_directions = PortDirectionCollector()
+
82 port_directions.visit(prev)
+
83 # PrettyPrintModule('encode_sc_module_1').visit(prev)
+
84 # terminate_with_no_trace()
+
85
+
86
+
87
+
88 prev = PortbindingPrecheck().visit(prev)
+
89 prev = PortbindingRecollect(ports=port_directions.ports).visit(prev) # this pass should only work when PreCheck passes
+
90 prev = LowerComplexPort(ig.interface_meta_data).visit(prev)
+
91
+
92 prev= LiteralExpansion2().visit(prev)
+
93 prev = InterfaceReplacement(ig.interface_meta_data).visit(prev)
+
94 # PrettyPrintModule('decode_sc_module_1').visit(prev)
+
95 # terminate_with_no_trace()
+
96 # PrettyPrintModule('encode_block_sc_module_5').visit(prev)
+
97 # terminate_with_no_trace()
+
98
+
99 # PrettyPrintModule('rvfifo_cc_sc_module_9').visit(prev)
+
100 prev = VerilogTranslationPass(itf_meta=ig.interface_meta_data).visit(prev)
+
101 # terminate_with_no_trace()
+
102 return prev
+
103
@@ -145,24 +210,39 @@ - + + + + - - - + + + + + + + + + + + + + - + +
+
diff --git a/port__expansion_8py.html b/port__expansion_8py.html index 9ffdea95..d6ed2911 100644 --- a/port__expansion_8py.html +++ b/port__expansion_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/port_expansion.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.port_expansion
namespace  parselib.transforms.port_expansion
 
+
diff --git a/port__expansion_8py_source.html b/port__expansion_8py_source.html index 0bcbdfdb..24360fde 100644 --- a/port__expansion_8py_source.html +++ b/port__expansion_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/port_expansion.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
port_expansion.py
@@ -102,221 +110,308 @@
14 """
15 super().__init__()
16 self.expanded = list()
-
17
+ +
18
-
18 # The port expansion for sc_rvd_in and sc_rvd_out
-
-
19 def create_inport(self, t, meta):
-
20 return Tree('htype', [Token('TYPESTR', 'sc_in'), t], meta)
-
21
+
19 # The port expansion for sc_rvd_in and sc_rvd_out
+
+
20 def create_inport(self, t, meta):
+
21 return Tree('htype', [Token('TYPESTR', 'sc_in'), t], meta)
+
22
-
-
22 def create_outport(self, t, meta):
-
23 return Tree('htype', [Token('TYPESTR', 'sc_out'), t], meta)
-
24
+
+
23 def create_outport(self, t, meta):
+
24 return Tree('htype', [Token('TYPESTR', 'sc_out'), t], meta)
+
25
-
-
25 def create_channel(self, t, meta):
-
26 return Tree('htype', [Token('TYPESTR', 'sc_signal'), t], meta)
-
27
+
+
26 def create_channel(self, t, meta):
+
27 return Tree('htype', [Token('TYPESTR', 'sc_signal'), t], meta)
+
28
-
-
28 def create_inport_decl(self, name, meta):
-
29 return Tree('inportdecl', [Token('ID', name)], meta)
-
30
+
+
29 def create_inport_decl(self, name, meta):
+
30 return Tree('inportdecl', [Token('ID', name)], meta)
+
31
-
-
31 def create_outport_decl(self, name, meta):
-
32 return Tree('outportdecl', [Token('ID', name)], meta)
-
33
+
+
32 def create_outport_decl(self, name, meta):
+
33 return Tree('outportdecl', [Token('ID', name)], meta)
+
34
-
-
34 def create_channel_decl(self, name, meta):
-
35 return Token('ID', name, meta)
-
36
+
+
35 def create_channel_decl(self, name, meta):
+
36 return Token('ID', name, meta)
+
37
-
-
37 def __expand_sc_rvd(self, tree, inout=None):
-
38 port_name, port_type = tree.children
-
39 port_type_meta = port_type.meta
-
40 if inout == 'channel':
-
41 port_name = port_name
-
42 elif inout in ['in', 'out']:
-
43 port_name = port_name.children[0].value
-
44
-
45 data_port = copy.deepcopy(port_type)
-
46 valid_port = copy.deepcopy(port_type)
-
47 ready_port = copy.deepcopy(port_type)
-
48
-
49 if inout == 'in':
-
50 data_valid_port_func = self.create_inport
-
51 data_valid_port_decl_func = self.create_inport_decl
-
52 ready_port_func = self.create_outport
-
53 ready_port_decl_func = self.create_outport_decl
-
54 elif inout == 'out':
-
55 data_valid_port_func = self.create_outport
-
56 data_valid_port_decl_func = self.create_outport_decl
-
57 ready_port_func = self.create_inport
-
58 ready_port_decl_func = self.create_inport_decl
-
59 elif inout == 'channel':
-
60 data_valid_port_func = self.create_channel
-
61 data_valid_port_decl_func = self.create_channel_decl
-
62 ready_port_func = self.create_channel
-
63 ready_port_decl_func = self.create_channel_decl
-
64
-
65 meta = data_port.children[0].meta
-
66
-
67 data_type = data_port.children[0].children[1]
-
68 data_port.children[0] = data_valid_port_func(data_type, meta)
-
69
-
70 signal_type = Tree('htype', [Token('TYPESTR', 'sc_uint'), 1])
-
71 valid_port.children[0] = data_valid_port_func(copy.deepcopy(signal_type), meta)
-
72 ready_port.children[0] = ready_port_func(copy.deepcopy(signal_type), meta)
-
73
-
74 meta = tree.meta
-
75
-
76 data_port_decl = copy.copy(tree)
-
77 valid_port_decl = copy.copy(tree)
-
78 ready_port_decl = copy.copy(tree)
-
79
-
80 data_port_decl.children = [data_valid_port_decl_func(port_name + '_data', meta), data_port]
-
81 valid_port_decl.children = [data_valid_port_decl_func(port_name + '_valid', meta), valid_port]
-
82 ready_port_decl.children = [ready_port_decl_func(port_name + '_ready', meta), ready_port]
-
83 return [data_port_decl, valid_port_decl, ready_port_decl]
-
84
+
+
38 def __expand_sc_rvd(self, tree, inout=None):
+
39 port_name, port_type = tree.children
+
40 port_type_meta = port_type.meta
+
41 if inout == 'channel':
+
42 port_name = port_name
+
43 elif inout in ['in', 'out']:
+
44 port_name = port_name.children[0].value
+
45
+
46 data_port = copy.deepcopy(port_type)
+
47 valid_port = copy.deepcopy(port_type)
+
48 ready_port = copy.deepcopy(port_type)
+
49
+
50 if inout == 'in':
+
51 data_valid_port_func = self.create_inport
+
52 data_valid_port_decl_func = self.create_inport_decl
+
53 ready_port_func = self.create_outport
+
54 ready_port_decl_func = self.create_outport_decl
+
55 elif inout == 'out':
+
56 data_valid_port_func = self.create_outport
+
57 data_valid_port_decl_func = self.create_outport_decl
+
58 ready_port_func = self.create_inport
+
59 ready_port_decl_func = self.create_inport_decl
+
60 elif inout == 'channel':
+
61 data_valid_port_func = self.create_channel
+
62 data_valid_port_decl_func = self.create_channel_decl
+
63 ready_port_func = self.create_channel
+
64 ready_port_decl_func = self.create_channel_decl
+
65
+
66 meta = data_port.children[0].meta
+
67
+
68 data_type = data_port.children[0].children[1]
+
69 data_port.children[0] = data_valid_port_func(data_type, meta)
+
70
+
71 signal_type = Tree('htype', [Token('TYPESTR', 'sc_uint'), 1])
+
72 valid_port.children[0] = data_valid_port_func(copy.deepcopy(signal_type), meta)
+
73 ready_port.children[0] = ready_port_func(copy.deepcopy(signal_type), meta)
+
74
+
75 meta = tree.meta
+
76
+
77 data_port_decl = copy.copy(tree)
+
78 valid_port_decl = copy.copy(tree)
+
79 ready_port_decl = copy.copy(tree)
+
80
+
81 data_port_decl.children = [data_valid_port_decl_func(port_name + '_data', meta), data_port]
+
82 valid_port_decl.children = [data_valid_port_decl_func(port_name + '_valid', meta), valid_port]
+
83 ready_port_decl.children = [ready_port_decl_func(port_name + '_ready', meta), ready_port]
+
84 return [data_port_decl, valid_port_decl, ready_port_decl]
+
85
-
- -
86 _, sub, par = tree.children
-
87 par_name, par_type = self.__get_expanded(par.children[0])
-
88 if par_type:
-
89 assert par_type in ['sc_rvd', 'sc_rvd_in', 'sc_rvd_out']
-
90 new_trees = [copy.deepcopy(tree) for _ in range(3)]
-
91 sub_fields = ['data', 'valid', 'ready']
-
92 res = []
-
93 for t, f in zip(new_trees, sub_fields):
-
94 _, sub, par = t.children
-
95 sub_v = sub.children[0]
-
96 par_v = par.children[0]
-
97 t.children[0 + 1].children[0].value = sub_v + '_' + f
-
98 t.children[1 + 1].children[0].value = par_v + '_' + f
-
99 res.append(t)
-
100 return res
-
101 else:
-
102 return [tree]
-
103
+
+ +
87 _, sub, par = tree.children
+
88 par_name, par_type = self.__get_expanded(par.children[0])
+
89 if par_type:
+
90 assert par_type in ['sc_rvd', 'sc_rvd_in', 'sc_rvd_out']
+
91 new_trees = [copy.deepcopy(tree) for _ in range(3)]
+
92 sub_fields = ['data', 'valid', 'ready']
+
93 res = []
+
94 for t, f in zip(new_trees, sub_fields):
+
95 _, sub, par = t.children
+
96 sub_v = sub.children[0]
+
97 par_v = par.children[0]
+
98 # t.children[0 + 1].children[0].value = sub_v + '_' + f
+
99 # t.children[1 + 1].children[0].value = par_v + '_' + f
+
100 t.children[0 + 1].children[0] = Token('ID', sub_v + '_' + f)
+
101 t.children[1 + 1].children[0] = Token('ID', par_v + '_' + f)
+
102 res.append(t)
+
103 return res
+
104 else:
+
105 return [tree]
+
106
-
-
104 def __expand_port(self, tree):
-
105 # TODO: remove this hack when hcode fixed
-
106 if len(tree.children) == 3:
-
107 tree.children = tree.children[0:2]
-
108 port_name, port_type = tree.children
-
109 port_to_expand = ['sc_rvd_in', 'sc_rvd_out']
-
110 port_type_name = port_type.children[0].children[0]
-
111 if port_type_name in port_to_expand:
-
112 self.__set_expanded(port_name.children[0], port_type_name)
-
113 if port_type_name == 'sc_rvd_in':
-
114 return self.__expand_sc_rvd(tree, 'in')
-
115 elif port_type_name == 'sc_rvd_out':
-
116 return self.__expand_sc_rvd(tree, 'out')
-
117 else:
-
118 assert False
-
119 else:
-
120 return [tree]
-
121
+
+
107 def __expand_port(self, tree):
+
108 # TODO: remove this hack when hcode fixed
+
109 if len(tree.children) == 3:
+
110 tree.children = tree.children[0:2]
+
111 port_name, port_type = tree.children
+
112 port_to_expand = ['sc_rvd_in', 'sc_rvd_out']
+
113 port_type_name = port_type.children[0].children[0]
+
114 if port_type_name in port_to_expand:
+
115 self.__set_expanded(port_name.children[0], port_type_name)
+
116 if port_type_name == 'sc_rvd_in':
+
117 return self.__expand_sc_rvd(tree, 'in')
+
118 elif port_type_name == 'sc_rvd_out':
+
119 return self.__expand_sc_rvd(tree, 'out')
+
120 else:
+
121 assert False
+
122 else:
+
123 return [tree]
+
124
-
-
122 def __expand_vardecl(self, tree):
-
123 if len(tree.children) == 3:
-
124 # warnings.warn('Expansion of sc_rvd with initial value is not supported')
-
125 return [tree]
-
126 bundle_name, bundle_type = tree.children
-
127 bundle_to_expand = ['sc_rvd']
-
128 bundle_type_name = bundle_type.children[0].children[0]
-
129 if bundle_type_name in bundle_to_expand:
-
130 self.__set_expanded(bundle_name, bundle_type_name)
-
131 return self.__expand_sc_rvd(tree, 'channel')
-
132 else:
-
133 return [tree]
-
134
+
+
125 def __expand_vardecl(self, tree):
+
126 if len(tree.children) == 3:
+
127 # warnings.warn('Expansion of sc_rvd with initial value is not supported')
+
128 return [tree]
+
129 bundle_name, bundle_type = tree.children
+
130 bundle_to_expand = ['sc_rvd']
+
131 bundle_type_name = bundle_type.children[0].children[0]
+
132 if bundle_type_name in bundle_to_expand:
+
133 self.__set_expanded(bundle_name, bundle_type_name)
+
134 return self.__expand_sc_rvd(tree, 'channel')
+
135 else:
+
136 return [tree]
+
137
-
-
135 def __set_expanded(self, var_name, bundle_type_name):
-
136 if var_name in self.expanded[-1]:
-
137 assert False, "Duplicate bundle decl"
-
138 self.expanded[-1][var_name] = bundle_type_name
-
139
+
+
138 def __set_expanded(self, var_name, bundle_type_name):
+
139 if var_name in self.expanded[-1]:
+
140 assert False, "Duplicate bundle decl"
+
141 self.expanded[-1][var_name] = bundle_type_name
+
142
-
-
140 def __get_expanded(self, var_name):
-
141 if var_name in self.expanded[-1]:
-
142 return var_name, self.expanded[-1][var_name]
-
143 else:
-
144 return None, None
-
145
+
+
143 def __get_expanded(self, var_name):
+
144 if var_name in self.expanded[-1]:
+
145 return var_name, self.expanded[-1][var_name]
+
146 else:
+
147 return None, None
+
148
-
-
146 def modportsiglist(self, tree):
-
147 self.__push_up(tree)
-
148 new_children = []
-
149 for ch in tree.children:
-
150 if ch.data == 'portdecltype':
-
151 res = self.__expand_port(ch)
-
152 new_children.extend(res)
-
153 elif ch.data == 'vardeclinit':
-
154 res = self.__expand_vardecl(ch)
+
+
149 def modportsiglist(self, tree):
+
150 self.__push_up(tree)
+
151 new_children = []
+
152 for ch in tree.children:
+
153 if ch.data == 'portdecltype':
+
154 res = self.__expand_port(ch)
155 new_children.extend(res)
-
156 else:
-
157 new_children.append(ch)
-
158 tree.children = new_children
-
159 return tree
-
160
-
161
+
156 elif ch.data == 'vardeclinit':
+
157 res = self.__expand_vardecl(ch)
+
158 new_children.extend(res)
+
159 else:
+
160 new_children.append(ch)
+
161 tree.children = new_children
+
162 return tree
+
163
+
+
+
164 def hmodinitblock(self, tree):
+ +
166 self.__push_up(tree)
+ +
168 return tree
+
169
+
+
+
170 def stmts(self, tree):
+
171 self.__push_up(tree)
+ +
173 return tree
+
174 new_children = []
+
175 for ch in tree.children:
+
176 if isinstance(ch, list):
+
177 new_children.extend(ch)
+
178 else:
+
179 new_children.append(ch)
+
180 tree.children = new_children
+
181 return tree
+
182
+
+
+
183 def forbody(self, tree):
+
184 self.__push_up(tree)
+ +
186 return tree
+
187 new_children = []
+
188 for ch in tree.children:
+
189 if isinstance(ch, list):
+
190 new_children.extend(ch)
+
191 else:
+
192 new_children.append(ch)
+
193 tree.children = new_children
+
194 return tree
+
195
+
196
+
+
+ +
198 assert stmt.data == 'stmt'
+
199 # portbinding is the only child
+
200 if len(stmt.children) == 1 and stmt.children[0].data == 'portbinding':
+
201 return True
+
202 return False
+
203
+
+
+
204 def stmt(self, tree):
+ +
206 self.__push_up(tree)
+
207 return tree
+
208 if not self.__check_stmt_portbinding(tree):
+
209 self.__push_up(tree)
+
210 return tree
+
211 self.__push_up(tree)
+
212 assert len(tree.children) == 1
+
213 new_children = tree.children[0]
+
214 tree.children = []
+
215 res = [
+
216 copy.deepcopy(tree)
+
217 for child in new_children
+
218 ]
+
219 for r, ch in zip(res, new_children):
+
220 r.children = [ch]
+
221 return res
+
222
+
223
+
+
+
224 def portbinding(self, tree):
+
225 res = self.__expand_sc_rvd_binding_pair(tree)
+
226 return res
+
227
-
-
162 def portbindinglist(self, tree):
-
163 module_name, *bindings = tree.children
-
164 new_children = []
-
165 for binding in bindings:
-
166 res = self.__expand_sc_rvd_binding_pair(binding)
-
167 new_children.extend(res)
-
168 tree.children = module_name, *new_children
-
169 return tree
-
170
+
+
228 def portbindinglist(self, tree):
+
229 module_name, *bindings = tree.children
+
230 new_children = []
+
231 for binding in bindings:
+
232 res = self.__expand_sc_rvd_binding_pair(binding)
+
233 new_children.extend(res)
+
234 tree.children = module_name, *new_children
+
235 return tree
+
236
-
-
171 def hmodule(self, tree):
-
172 self.expanded.append(dict())
-
173 self.__push_up(tree)
-
174 self.expanded.pop()
-
175 return tree
+
+
237 def hmodule(self, tree):
+
238 self.expanded.append(dict())
+
239 self.__push_up(tree)
+
240 self.expanded.pop()
+
241 return tree
- - - - - - - + + + + + + + + + - - - - - + + + + + + + + - -
__set_expanded(self, var_name, bundle_type_name)
- + + + + +
__set_expanded(self, var_name, bundle_type_name)
+
__push_up(self, current_node)
Definition top_down.py:29
+
diff --git a/portbinding__recollect_8py.html b/portbinding__recollect_8py.html new file mode 100644 index 00000000..a3d99066 --- /dev/null +++ b/portbinding__recollect_8py.html @@ -0,0 +1,128 @@ + + + + + + + +systemc-clang: plugins/hdl/parselib/transforms/portbinding_recollect.py File Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+ + + diff --git a/portbinding__recollect_8py_source.html b/portbinding__recollect_8py_source.html new file mode 100644 index 00000000..93dee7b1 --- /dev/null +++ b/portbinding__recollect_8py_source.html @@ -0,0 +1,487 @@ + + + + + + + +systemc-clang: plugins/hdl/parselib/transforms/portbinding_recollect.py Source File + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
portbinding_recollect.py
+
+
+Go to the documentation of this file.
1from lark import Tree, Token
+
2from parselib.transforms import TopDown
+
3from parselib.transforms.node import TypeDefType
+
4from ..utils import dprint, is_tree_type, get_ids_in_tree_types, ContextManager, get_tree_types
+
5from pprint import pprint
+
6from copy import deepcopy
+
7import logging
+
8
+
9
+
+ +
+
11 def portbindinglist(self, tree):
+
12 assert False, "portbindinglist should not be present in the tree"
+
13
+
14
+
+
+
+ +
16 """
+
17 This pass collects portbinding nodes and transform them into a portbindinglist node.
+
18 We have this pass because portbindings are scattered in hModinitblock
+
19 These blocks cannot be directly translated to verilog uniformly
+
20 """
+
+
21 def __init__(self, ports):
+
22 # pre checks
+
23 super().__init__()
+ +
25 self.ports = ports
+
26
+
27
+
+
+
28 def hmodule(self, tree):
+
29 with self.ctx.add_values(current_module=tree.children[0].value, module_type={}):
+
30 self.__push_up(tree)
+
31 return tree
+
32
+
+
+
33 def forinit(self, tree):
+
34 with self.ctx.add_values(is_in_for_init=True):
+
35 self.__push_up(tree)
+
36 return tree
+
37
+
+
+
38 def hvarref(self, tree):
+
39 if self.ctx.is_in_for_init and self.ctx.is_in_initblock:
+
40 self.ctx.for_var_catcher.add(tree.children[0])
+
41 return tree
+
42
+
+
+
43 def forstmt(self, tree):
+
44 if not self.ctx.is_in_initblock:
+
45 return tree
+
46
+
47 # assert: self.is_in_initblock
+
48 with self.ctx.add_values(
+
49 is_in_for=True,
+
50 for_has_portbinding=False,
+
51 has_non_portbinding_stmt=False,
+
52 ):
+
53 self.__push_up(tree)
+
54
+
55 # allow some level of nesting
+
56 assert not (self.ctx.for_has_portbinding and self.ctx.has_non_portbinding_stmt), \
+
57 "portbinding and non-portbinding stmts should not be mixed in a for block"
+
58
+
59 # if this is the outermost for block, collect it for portbinding
+
60 if self.ctx.is_in_initblock and self.ctx.for_has_portbinding and self.ctx.search_key_in_outer_context("is_in_for") is None:
+
61 self.ctx.for_port_bindings.append(tree)
+
62 return None
+
63 else:
+
64 return tree
+
65
+
+
+
66 def stmt(self, tree):
+
67 # self.__push_up(tree)
+
68 # if self.is_in_initblock and self.is_in_for:
+
69 # if tree.children[0].data != 'portbinding':
+
70 # self.has_non_portbinding_stmt = True
+
71 if self.ctx.is_in_initblock and self.ctx.is_in_for:
+
72 if tree.children[0].data not in ['portbinding', 'hcstmt', 'forstmt']:
+
73 self.ctx.has_non_portbinding_stmt = True
+
74
+
75 self.__push_up(tree)
+
76 if len(tree.children) == 1 and tree.children[0] is None:
+
77 return None
+
78 else:
+
79 return tree
+
80
+
+
+
81 def stmts(self, tree):
+
82 self.__push_up(tree)
+
83 if self.ctx.is_in_initblock:
+
84 tree.children = list(filter(lambda x: x is not None, tree.children))
+
85 return tree
+
86
+
+
+
87 def hmodinitblock(self, tree):
+
88 with self.ctx.add_values(
+
89 is_in_initblock=True,
+
90 simple_port_bindings=[],
+
91 for_port_bindings=[],
+
92 for_var_catcher=set()
+
93 ):
+
94 self.__push_up(tree)
+
95
+
96 portbindinglist_node = Tree('portbindinglist', [
+
97 None,
+
98 [*self.ctx.simple_port_bindings],
+
99 ])
+
100 forbindinglist_node = Tree('genbindinglist', [
+
101 Tree('genvardecl', list(self.ctx.for_var_catcher)),
+
102 Tree('genfor', self.ctx.for_port_bindings)
+
103 ])
+
104 # dprint(portbindinglist_node.pretty())
+
105
+
106 tree.children.append(portbindinglist_node)
+
107 if len(self.ctx.for_port_bindings) > 0:
+
108 tree.children.append(forbindinglist_node)
+
109 return tree
+
110
+
+
+
111 def moduleinst(self, tree):
+
112 self.__push_up(tree)
+
113 module_inst_name = str(tree.children[0])
+
114 module_type_name = tree.children[1].children[0].children[0].value
+
115 self.ctx.module_type[module_inst_name] = module_type_name
+
116 return tree
+
117
+
+
+
118 def modulearrayinst(self, tree):
+
119 self.__push_up(tree)
+
120 module_inst_name = str(tree.children[0])
+
121 module_type_name = tree.children[1].children[0].children[1].children[0].value
+
122 self.ctx.module_type[module_inst_name] = module_type_name
+
123 return tree
+
124
+
125
+
126
+
+
+ +
128 normal_name = tree.children[0].value
+
129 # TODO: change this to something that cannot be confused with a module name
+
130 if 'NONAME' in normal_name:
+
131 module_name = get_ids_in_tree_types(tree.children[1])[0].value
+
132 return module_name
+
133 return normal_name
+
134
+
+
+ +
136 normal_name = tree.children[0].value
+
137 if 'NONAME' in normal_name:
+
138 # This corrsponds to the case where the port name is behind a dot
+
139 # node = tree.children[1]
+
140 node = get_tree_types(tree, ['hfieldaccess'])[0]
+
141 if node.data == 'hfieldaccess':
+
142 return node.children[1].children[0].value
+
143 else:
+
144 dprint(tree.pretty())
+
145 assert False, "Unknown case"
+
146 else:
+
147 return get_ids_in_tree_types(tree.children[1])[0].value
+
148
+
149
+
+
+
150 def portbinding(self, tree):
+
151 # self.collected_portbinding.append(tree)
+
152 # self.for_has_portbinding = True
+
153 if self.ctx.is_in_for:
+
154 self.ctx.for_has_portbinding = True
+
155 module_orig = tree.children[0].value
+
156 module = self.get_module_name_in_portbinding(tree)
+
157 if module not in self.ctx.module_type:
+
158 logging.warn(f"TODO: Module {module} not found in moduleinst, future fix is required")
+
159 return tree
+
160 module_type = self.ctx.module_type[module]
+
161
+
162 # print(self.ports[module_type])
+
163 # children[1] is always the port name ref
+
164 # port_name = get_ids_in_tree_types(tree.children[1])[0].value
+
165 port_name = self.get_port_name_in_portbinding(tree)
+
166 port_dir = self.ports[module_type][port_name]
+
167 # default order is children[0] <- children[1] (in Verilog)
+
168 # if it is an output port, we need to reverse them.
+
169 if port_dir == PortDirectionCollector.OUTPUT:
+
170 tree.children[1:3] = tree.children[2:0:-1]
+
171 tree.swap_for_for_loop = True
+
172 # This is an indication so that at a later pass
+
173 # the dot syntax can be inserted properly
+
174 return tree
+
175 else:
+
176 self.ctx.simple_port_bindings.append(tree)
+
177 return None
+
178
+
179
+
+
+
+ +
181 INPUT = 0
+
182 OUTPUT = 1
+
183
+
+
184 def __init__(self):
+
185 self.ports= { }
+ +
187
+
+
+
188 def hmodule(self, tree):
+
189 with self.ctx.add_values(current_module=tree.children[0].value):
+
190 self.ports[self.ctx.current_module] = {}
+
191 self.__push_up(tree)
+
192 return tree
+
193
+
+
+
194 def inportdecl(self, tree):
+
195 self.ports[self.ctx.current_module][tree.children[0].value] = PortDirectionCollector.INPUT
+
196 return tree
+
197
+
+
+
198 def outportdecl(self, tree):
+
199 self.ports[self.ctx.current_module][tree.children[0].value] = PortDirectionCollector.OUTPUT
+
200 return tree
+
201
+
+
+
202 def sigdecltype(self, tree):
+
203
+
204 if hasattr(tree.meta, 'direction'):
+
205 d = tree.meta.direction
+
206 if d == 'input':
+
207 self.ports[self.ctx.current_module][tree.children[0].children[0].value] = PortDirectionCollector.INPUT
+
208 elif d == 'output':
+
209 self.ports[self.ctx.current_module][tree.children[0].children[0].value] = PortDirectionCollector.OUTPUT
+
210 else:
+
211 raise ValueError(f"Unknown direction {d}")
+
212 return tree
+
213
+
214
+
+
+
+ +
216 """
+
217 This pass simply lowers the the field access to a simple portbinding
+
218 """
+
+
219 def __init__(self, port_meta):
+ +
221 self.port_meta = port_meta
+
222 pass
+
223
+
+
+
224 def genbindinglist(self, tree):
+
225 with self.ctx.add_values(is_in_genbindinglist=True):
+
226 self.__push_up(tree)
+
227 return tree
+
228
+
+
+
229 def hvarref(self, tree):
+
230 if not self.ctx.is_in_genbindinglist:
+
231 return tree
+
232
+
233 assert len(tree.children) == 1, "Internal error, hvarref should only have one child"
+
234 cur_mod = self.ctx.current_module
+
235 if cur_mod not in self.port_meta:
+
236 return tree
+
237
+
238 # TODO: this checks whether a varref is a port of current module
+
239 # we could refactor this to be a function call such as _is_port_of_current_module()
+
240 interface = self.port_meta[cur_mod]
+
241 port_decls = interface.interfaces
+
242 for port_decl in port_decls:
+
243 # PortDecl
+
244 if port_decl.name == tree.children[0]:
+
245 # if this vardef is a local port
+
246 tree.children[0] = Token('ID',
+
247 value=f'{interface.generate_interface_decl_name()}.{port_decl.name}')
+
248 break
+
249 return tree.children[0]
+
250
+
+
+
251 def harrayref(self, tree):
+
252 if not self.ctx.is_in_genbindinglist:
+
253 return tree
+
254 self.ctx.cur_depth += 1
+
255 self.__push_up(tree)
+
256 self.ctx.cur_depth -= 1
+
257 if self.ctx.cur_depth == 0:
+
258 return '{}[{}]'.format(tree.children[0], tree.children[1])
+
259 else:
+
260 return '{}[{}].dim'.format(tree.children[0], tree.children[1])
+
261
+
+
+
262 def numlit(self, tree):
+
263 if not self.ctx.is_in_genbindinglist:
+
264 return tree
+
265 return tree.children[0]
+
266
+
+
+
267 def hfieldname(self, tree):
+
268 if not self.ctx.is_in_genbindinglist:
+
269 return tree
+
270 return tree.children[0]
+
+
+
271 def hfieldaccess(self, tree):
+
272 if self.ctx.is_in_genbindinglist:
+
273 with self.ctx.add_values(cur_depth=0):
+
274 self.__push_up(tree)
+
275 return tree.children[0] + ".itf." + tree.children[1]
+
276 return tree
+
277
+
+
+
278 def hmodule(self, tree):
+
279 with self.ctx.add_values(current_module=tree.children[0].value):
+
280 self.__push_up(tree)
+
281 return tree
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
__push_up(self, current_node)
Definition top_down.py:29
+ + + +
+ + +
+ + diff --git a/primitives_8py.html b/primitives_8py.html index ce4e7a68..4e751564 100644 --- a/primitives_8py.html +++ b/primitives_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/primitives.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -154,15 +167,16 @@ - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.primitives
namespace  parselib.primitives
 
+
diff --git a/primitives_8py_source.html b/primitives_8py_source.html index 8e55ec32..d127d4a8 100644 --- a/primitives_8py_source.html +++ b/primitives_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/primitives.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
primitives.py
@@ -318,246 +326,250 @@
170 prefix = context.prefix + ' '
171 if context.suffix is not None:
172 suffix = context.suffix
-
173 if var_name:
-
174 return f'{prefix}logic [{self.width-1}:0] {var_name}{suffix}'
-
175 else:
-
176 return f'{prefix}logic [{self.width-1}:0]'
-
177
-
-
-
- -
-
179 def __init__(self, width):
-
180 self.width = width
-
181
-
-
-
182 def to_str(self, var_name, context=None):
-
183 prefix = ''
-
184 suffix = ';'
-
185 if context:
-
186 if context.prefix is not None:
-
187 prefix = context.prefix + ' '
-
188 if context.suffix is not None:
-
189 suffix = context.suffix
-
190 if var_name:
-
191 return f'{prefix}logic signed[{self.width-1}:0] {var_name}{suffix}'
-
192 else:
-
193 return f'{prefix}logic signed[{self.width-1}:0]'
-
194
-
195
-
-
-
- -
-
197 def __new__(cls, width):
-
198 return sc_uint(width)
+
173 if prefix == 'genvar ': # genvar does not have a specific type
+
174 return f'{prefix}{var_name}{suffix}'
+
175 if var_name:
+
176 return f'{prefix}logic [{self.width-1}:0] {var_name}{suffix}'
+
177 else:
+
178 return f'{prefix}logic [{self.width-1}:0]'
+
179
+
+
+
+ +
+
181 def __init__(self, width):
+
182 self.width = width
+
183
+
+
+
184 def to_str(self, var_name, context=None):
+
185 prefix = ''
+
186 suffix = ';'
+
187 if context:
+
188 if context.prefix is not None:
+
189 prefix = context.prefix + ' '
+
190 if context.suffix is not None:
+
191 suffix = context.suffix
+
192 if prefix == 'genvar ': # genvar does not have a specific type
+
193 return f'{prefix}{var_name}{suffix}'
+
194 if var_name:
+
195 return f'{prefix}logic signed[{self.width-1}:0] {var_name}{suffix}'
+
196 else:
+
197 return f'{prefix}logic signed[{self.width-1}:0]'
+
198
199
- +
-
201 def __new__(cls):
-
202 warnings.warn('double detected, currently treated as integer')
-
203 return sc_int(64)
-
204
+
201 def __new__(cls, width):
+
202 return sc_uint(width)
+
203
+
+
- -
-
206 def __new__(cls, width):
-
207 return sc_int(width)
+
205 def __new__(cls):
+
206 warnings.warn('double detected, currently treated as integer')
+
207 return sc_int(64)
208
- +
-
210 def __new__(cls):
-
211 return sc_int(128)
+
210 def __new__(cls, width):
+
211 return sc_int(width)
212
- +
-
214 def __new__(cls):
-
215 return sc_uint(128)
+
214 def __new__(cls):
+
215 return sc_int(128)
216
- +
-
218 def __new__(cls):
-
219 return sc_int(64)
+
218 def __new__(cls):
+
219 return sc_uint(128)
220
- +
-
222 def __new__(cls):
-
223 return sc_uint(64)
+
222 def __new__(cls):
+
223 return sc_int(64)
224
- +
-
226 def to_str(self, var_name):
-
227 if var_name:
-
228 raise ValueError('Void type can only be used in function return types and should not have name')
-
229 return 'void'
-
230
-
231
-
-
-
- -
-
233 def __init__(self, T):
-
234 self.T = T
+
226 def __new__(cls):
+
227 return sc_uint(64)
+
228
+
+
+
+ +
+
230 def to_str(self, var_name):
+
231 if var_name:
+
232 raise ValueError('Void type can only be used in function return types and should not have name')
+
233 return 'void'
+
234
235
-
-
236 def to_str(self, var_name, context=None):
-
237 return self.T.to_str(var_name, context)
-
238
+
+ +
+
237 def __init__(self, T):
+
238 self.T = T
+
239
-
-
-
240 def __init__(self, T, size):
-
241 self.T = T
-
242 self.sz = size
-
243
+
240 def to_str(self, var_name, context=None):
+
241 return self.T.to_str(var_name, context)
+
242
+
+
+
- -
245 if isinstance(self.T, array):
-
246 return self.T.get_element_type()
-
247 else:
-
248 return self.T
-
249
-
-
-
250 def to_str(self, var_name, context=None):
-
251 sz_str = ''.join(['[0:{}]'.format(sz - 1) for sz in self.sz])
-
252 return self.T.to_str('{}{}'.format(var_name, sz_str), context)
+
244 def __init__(self, T, size):
+
245 self.T = T
+
246 self.sz = size
+
247
+
+
+ +
249 if isinstance(self.T, array):
+
250 return self.T.get_element_type()
+
251 else:
+
252 return self.T
253
-
- -
-
255 def __new__(cls):
-
256 return sc_uint(1)
+
254 def to_str(self, var_name, context=None):
+
255 sz_str = ''.join(['[0:{}]'.format(sz - 1) for sz in self.sz])
+
256 return self.T.to_str('{}{}'.format(var_name, sz_str), context)
257
-
258
+
+
- -
-
260 def __new__(cls):
-
261 return sc_int(32)
+
259 def __new__(cls):
+
260 return sc_uint(1)
+
261
262
- +
-
264 def __new__(cls):
-
265 return sc_int(8)
+
264 def __new__(cls):
+
265 return sc_int(32)
266
- +
-
268 def __new__(cls):
+
268 def __new__(cls):
269 return sc_int(8)
270
- +
-
272 def __new__(cls):
-
273 return sc_uint(8)
+
272 def __new__(cls):
+
273 return sc_int(8)
274
- +
-
276 def __new__(cls):
-
277 return sc_uint(32)
+
276 def __new__(cls):
+
277 return sc_uint(8)
278
- +
-
280 def __new__(cls):
-
281 return sc_int(16)
+
280 def __new__(cls):
+
281 return sc_uint(32)
282
- +
-
284 def __new__(cls):
-
285 return sc_uint(16)
+
284 def __new__(cls):
+
285 return sc_int(16)
286
- +
-
288 def __new__(cls):
-
289 return sc_int(64)
+
288 def __new__(cls):
+
289 return sc_uint(16)
290
- +
-
292 def __new__(cls):
-
293 return sc_uint(64)
+
292 def __new__(cls):
+
293 return sc_int(64)
294
- +
-
296 def __new__(cls):
-
297 return sc_uint(1)
+
296 def __new__(cls):
+
297 return sc_uint(64)
298
-
299
+
+
-
300class vmodule(object):
-
-
301 def __init__(self, type_name, port_bindings=None):
-
302 self.type_name = type_name
-
303 self.port_bindings = port_bindings
-
304
+
300 def __new__(cls):
+
301 return sc_uint(1)
+
302
+
303
+
+
+
304class vmodule(object):
-
305 def to_str(self, var_name, context=None):
-
306 warnings.warn('port binding not fully implemented')
-
307 binding_str = ',\n'.join(f'.{b[0]}({b[1]})' for b in self.port_bindings)
-
308 return f'{self.type_name} {var_name}(\n{binding_str}\n/* port bindings not fully implemented */);'
-
309
-
310
+
305 def __init__(self, type_name, port_bindings=None):
+
306 self.type_name = type_name
+
307 self.port_bindings = port_bindings
+
308
+
+
+
309 def to_str(self, var_name, context=None):
+
310 warnings.warn('port binding not fully implemented')
+
311 binding_str = ',\n'.join(f'.{b[0]}({b[1]})' for b in self.port_bindings)
+
312 return f'{self.type_name} {var_name}(\n{binding_str}\n/* port bindings not fully implemented */);'
+
313
+
314
@@ -578,57 +590,57 @@
to_str(self, var_name, context=None)
Definition primitives.py:97
- - - - - -
__init__(self, T, size)
-
to_str(self, var_name, context=None)
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + +
__init__(self, T, size)
+
to_str(self, var_name, context=None)
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
to_str(self, var_name, context=None)
- - + +
to_str(self, var_name, context=None)
Definition primitives.py:85
- - - -
to_str(self, var_name, context=None)
+ + + +
to_str(self, var_name, context=None)
to_str(self, var_name, context=None)
@@ -641,29 +653,30 @@
to_str(self, var_name, context=None)
- -
to_str(self, var_name, context=None)
- - + +
to_str(self, var_name, context=None)
+ +
to_str(self, var_name, context=None)
- - - - - -
to_str(self, var_name, context=None)
- - -
__init__(self, type_name, port_bindings=None)
- -
to_str(self, var_name)
+ + + + + +
to_str(self, var_name, context=None)
+ + +
__init__(self, type_name, port_bindings=None)
+ +
to_str(self, var_name)
+
diff --git a/reorder__mod__init__block_8py.html b/reorder__mod__init__block_8py.html index 94475a8c..85f35008 100644 --- a/reorder__mod__init__block_8py.html +++ b/reorder__mod__init__block_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/reorder_mod_init_block.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.reorder_mod_init_block
namespace  parselib.transforms.reorder_mod_init_block
 
+
diff --git a/reorder__mod__init__block_8py_source.html b/reorder__mod__init__block_8py_source.html index f02c4fd7..9474f444 100644 --- a/reorder__mod__init__block_8py_source.html +++ b/reorder__mod__init__block_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/reorder_mod_init_block.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
reorder_mod_init_block.py
@@ -119,7 +127,8 @@
+
diff --git a/resize.js b/resize.js new file mode 100644 index 00000000..178d03bc --- /dev/null +++ b/resize.js @@ -0,0 +1,147 @@ +/* + @licstart The following is the entire license notice for the JavaScript code in this file. + + The MIT License (MIT) + + Copyright (C) 1997-2020 by Dimitri van Heesch + + Permission is hereby granted, free of charge, to any person obtaining a copy of this software + and associated documentation files (the "Software"), to deal in the Software without restriction, + including without limitation the rights to use, copy, modify, merge, publish, distribute, + sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is + furnished to do so, subject to the following conditions: + + The above copyright notice and this permission notice shall be included in all copies or + substantial portions of the Software. + + THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING + BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND + NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, + DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. + + @licend The above is the entire license notice for the JavaScript code in this file + */ + +function initResizable(treeview) { + let sidenav,navtree,content,header,footer,barWidth=6; + const RESIZE_COOKIE_NAME = ''+'width'; + + function resizeWidth() { + const sidenavWidth = $(sidenav).outerWidth(); + content.css({marginLeft:parseInt(sidenavWidth)+"px"}); + if (typeof page_layout!=='undefined' && page_layout==1) { + footer.css({marginLeft:parseInt(sidenavWidth)+"px"}); + } + Cookie.writeSetting(RESIZE_COOKIE_NAME,sidenavWidth-barWidth); + } + + function restoreWidth(navWidth) { + content.css({marginLeft:parseInt(navWidth)+barWidth+"px"}); + if (typeof page_layout!=='undefined' && page_layout==1) { + footer.css({marginLeft:parseInt(navWidth)+barWidth+"px"}); + } + sidenav.css({width:navWidth + "px"}); + } + + function resizeHeight(treeview) { + const headerHeight = header.outerHeight(); + const windowHeight = $(window).height(); + let contentHeight; + if (treeview) + { + const footerHeight = footer.outerHeight(); + let navtreeHeight,sideNavHeight; + if (typeof page_layout==='undefined' || page_layout==0) { /* DISABLE_INDEX=NO */ + contentHeight = windowHeight - headerHeight - footerHeight; + navtreeHeight = contentHeight; + sideNavHeight = contentHeight; + } else if (page_layout==1) { /* DISABLE_INDEX=YES */ + contentHeight = windowHeight - footerHeight; + navtreeHeight = windowHeight - headerHeight; + sideNavHeight = windowHeight; + } + navtree.css({height:navtreeHeight + "px"}); + sidenav.css({height:sideNavHeight + "px"}); + } + else + { + contentHeight = windowHeight - headerHeight; + } + content.css({height:contentHeight + "px"}); + if (location.hash.slice(1)) { + (document.getElementById(location.hash.slice(1))||document.body).scrollIntoView(); + } + } + + function collapseExpand() { + let newWidth; + if (sidenav.width()>0) { + newWidth=0; + } else { + const width = Cookie.readSetting(RESIZE_COOKIE_NAME,250); + newWidth = (width>250 && width<$(window).width()) ? width : 250; + } + restoreWidth(newWidth); + const sidenavWidth = $(sidenav).outerWidth(); + Cookie.writeSetting(RESIZE_COOKIE_NAME,sidenavWidth-barWidth); + } + + header = $("#top"); + content = $("#doc-content"); + footer = $("#nav-path"); + sidenav = $("#side-nav"); + if (!treeview) { +// title = $("#titlearea"); +// titleH = $(title).height(); +// let animating = false; +// content.on("scroll", function() { +// slideOpts = { duration: 200, +// step: function() { +// contentHeight = $(window).height() - header.outerHeight(); +// content.css({ height : contentHeight + "px" }); +// }, +// done: function() { animating=false; } +// }; +// if (content.scrollTop()>titleH && title.css('display')!='none' && !animating) { +// title.slideUp(slideOpts); +// animating=true; +// } else if (content.scrollTop()<=titleH && title.css('display')=='none' && !animating) { +// title.slideDown(slideOpts); +// animating=true; +// } +// }); + } else { + navtree = $("#nav-tree"); + $(".side-nav-resizable").resizable({resize: function(e, ui) { resizeWidth(); } }); + $(sidenav).resizable({ minWidth: 0 }); + } + $(window).resize(function() { resizeHeight(treeview); }); + if (treeview) + { + const device = navigator.userAgent.toLowerCase(); + const touch_device = device.match(/(iphone|ipod|ipad|android)/); + if (touch_device) { /* wider split bar for touch only devices */ + $(sidenav).css({ paddingRight:'20px' }); + $('.ui-resizable-e').css({ width:'20px' }); + $('#nav-sync').css({ right:'34px' }); + barWidth=20; + } + const width = Cookie.readSetting(RESIZE_COOKIE_NAME,250); + if (width) { restoreWidth(width); } else { resizeWidth(); } + } + resizeHeight(treeview); + const url = location.href; + const i=url.indexOf("#"); + if (i>=0) window.location.hash=url.substr(i); + const _preventDefault = function(evt) { evt.preventDefault(); }; + if (treeview) + { + $("#splitbar").bind("dragstart", _preventDefault).bind("selectstart", _preventDefault); + $(".ui-resizable-handle").dblclick(collapseExpand); + // workaround for firefox + $("body").css({overflow: "hidden"}); + } + $(window).on('load',function() { resizeHeight(treeview); }); +} +/* @license-end */ diff --git a/search/all_0.js b/search/all_0.js index 92086b03..6007d321 100644 --- a/search/all_0.js +++ b/search/all_0.js @@ -9,171 +9,186 @@ var searchData= ['_5f_5fcheck_5fconst_6',['__check_const',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aeda060b73123f5c44c736fb81a2a40d9',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['_5f_5fcheck_5fpart_5fselect_5fleft_7',['__check_part_select_left',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a57fa0954a5a28f1d7a9f32ed10a9e68a',1,'parselib::transforms::slice_merge::SliceMerge']]], ['_5f_5fcheck_5fpart_5fselect_5fright_8',['__check_part_select_right',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a7d4c8d12ea1665c72ef5723fb613f945',1,'parselib::transforms::slice_merge::SliceMerge']]], - ['_5f_5fcheck_5fsystemc_5fclang_5fexecutable_5fexists_9',['__check_systemc_clang_executable_exists',['../classsystemc-clang_1_1SystemCClang.html#a886ba420e781deb053c86a039b6cc0a4',1,'systemc-clang::SystemCClang']]], - ['_5f_5fclear_5fdriven_5fsignals_10',['__clear_driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a06c785cc6a1ae4c21e4300eb01321064',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5fcurrent_5ffunction_11',['__current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a270a67c8a5d0a3d26fffce93de41cce0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_function'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a4768999806511a6302b336b44421c42d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_function'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a377cd7f6006cc90a3e5492e98f676f56',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_function']]], - ['_5f_5fcurrent_5ffunctions_12',['__current_functions',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0a2d5223f5bdc2d57ca44f9be2047e24',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fcurrent_5fmodule_13',['__current_module',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9e06fa771d57818d746bf49e2f617938',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_module'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a245fa4047f659f25a2193998d4591078',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_module'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae97b5052f026e04b2d734ea2d86c0dfb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module']]], - ['_5f_5fcurrent_5fmodule_5fscope_5fvars_14',['__current_module_scope_vars',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a76a8bc899dd0f872ad5ec9b83870fd00',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fcurrent_5fmodule_5fsense_5flist_15',['__current_module_sense_list',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0b580bcad51a3e028900ce899cda9e20',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fcurrent_5fprocess_16',['__current_process',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aafee103f81f578691a69749a3516c494',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_process'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#af2f6b47a868f03793f1a96a32695f16d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_process'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a91194088f604ba9210f1154ae6cf3c92',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process']]], - ['_5f_5fcurrent_5fscope_5ftype_17',['__current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8eb98bc068e1e69145d94590342ed82d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fcurrent_5fthread_18',['__current_thread',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a03b7e23d2d4bb9de8209de907f014479',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aee07e11c19e77483f6cb325745e99359',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread']]], - ['_5f_5fdefault_5f_5f_19',['__default__',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ac155340dcce91eddfd309b01e9829fb9',1,'parselib::transforms::top_down::TopDown']]], - ['_5f_5fdriven_5fsignals_20',['__driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aded86f330d294350adb5f43a7e83b421',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5fexpand_5fblkassign_21',['__expand_blkassign',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#adeb9e08be7040c35deab0b9171c764e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fdecl_5fin_5ftree_5fchildren_22',['__expand_decl_in_tree_children',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a830e3a92dfe1ec21d1971e0c70aac5eb',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fhelper_23',['__expand_helper',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa955ab787ae1cc50b051eb7261f25e36',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fhtype_24',['__expand_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a010aeb6d8c5a7dde8615d4c09b0f78c2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fport_25',['__expand_port',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#adbc629cd94ae1715c436a9b0c4027110',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fportdecltype_26',['__expand_portdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ceb6731d7e5e814e948fa2a0b8e02b8',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fsc_5frvd_27',['__expand_sc_rvd',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a360545a55ac21db4ca031a9718add78e',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fsc_5frvd_5fbinding_5fpair_28',['__expand_sc_rvd_binding_pair',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a2170b8f91078dd1ad217051112c6362b',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fsigdecltype_29',['__expand_sigdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1003f27ac98dc9599f399b457ba371e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5ftypeinfo_30',['__expand_typeinfo',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3b58996c8c77fdb8202d6dab15affff',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fvardecl_31',['__expand_vardecl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a96c238a1bac3338cc12302bff0c45d93',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fvardecltype_32',['__expand_vardecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1d9ef1fdbb91ccb4ab31af7aeab064e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpanded_5ftype_33',['__expanded_type',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a912ce0b3d5fcc8a4bae1d0ae2b0411e5',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fextract_5ffunc_5fdef_34',['__extract_func_def',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ac71393761e88e1b95c249d34851c5d2d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__extract_func_def()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a67ec1da85998f48bddb64239e9f866e7',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_func_def(self, tree)']]], - ['_5f_5fextract_5ffunc_5fid_35',['__extract_func_id',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af54ae98265633e260750b23775de262b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fextract_5fid_5ffrom_5ffunc_5farg_36',['__extract_id_from_func_arg',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a5f9b3618ef661ee119567d58cd5eb8cc',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fextract_5fname_5ffrom_5fmethod_5fargs_37',['__extract_name_from_method_args',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a51b2cf1d59d3711b7906076661c9fab6',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['_5f_5ffile_5finput_38',['__file_input',['../namespacehcode2verilog.html#a6b4daf8c789c285d8b0355aea9422bd4',1,'hcode2verilog']]], - ['_5f_5ffunc_5finout_39',['__func_inout',['../classparselib_1_1primitives_1_1____func__inout.html',1,'parselib::primitives']]], - ['_5f_5ffunc_5fparam_5fstubs_40',['__func_param_stubs',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a008ae13ca9cf4ee3f9f4bd223d58384d',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fgen_5ffuncparam_41',['__gen_funcparam',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8f8a146e5fa27815e98d7adfb23f0b2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fgenerate_5fhthread_5fblock_42',['__generate_hthread_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a326cb1a975a542145b87fc4507a47347',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fgenerate_5fvars_5fdecl_43',['__generate_vars_decl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a47d6c20b440eedab233f2c861bf41930',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fget_5fcurrent_5fprocess_5fstub_44',['__get_current_process_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aadc3685d3fab8760bd6a9b8ed9b54c2b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fget_5fdriven_5fsig_5fname_45',['__get_driven_sig_name',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a341f4249ae83d12b8b18c611a8d91d90',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5fget_5fexpandable_5ftype_5ffrom_5fhtype_46',['__get_expandable_type_from_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aef07cb7842eb5bf3b085612dd1155143',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fget_5fexpandable_5fvar_5ffrom_5ftree_47',['__get_expandable_var_from_tree',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a47227c58c06f48a5d2da8fc24c26a16a',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fget_5fexpanded_48',['__get_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a3063f3ee7bea8db1e4766c719f9d6924',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fget_5ffunc_5fparam_5fstub_49',['__get_func_param_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a45a630400d75434194f37c955e134f7f',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fget_5fhdl_5ffile_5fout_50',['__get_hdl_file_out',['../classsystemc-clang_1_1SystemCClang.html#a38ef2a87efa868547b80205762748127',1,'systemc-clang::SystemCClang']]], - ['_5f_5fget_5fsources_5ffrom_5fargs_51',['__get_sources_from_args',['../classsystemc-clang_1_1SystemCClang.html#a16152f3d72cc5169c5f3e032cab68ef4',1,'systemc-clang::SystemCClang']]], - ['_5f_5fget_5fsystemc_5fclang_5foutput_5ffiles_52',['__get_systemc_clang_output_files',['../classsystemc-clang_1_1SystemCClang.html#a99992266160f42ba28f7f7b28f9fe3ae',1,'systemc-clang::SystemCClang']]], - ['_5f_5fget_5fvar_5fname_53',['__get_var_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab9aa2b4359145541d539d4249cfe4654',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fget_5fvar_5fnames_54',['__get_var_names',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8831314a94db86ff3abc2f32ae54f0b3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fin_5ffunction_55',['__in_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aa1130a5d854aa90fca2aa5e77c9577d5',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['_5f_5fin_5fmodule_5fscope_56',['__in_module_scope',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7940dd7f50e8d67bf80965254b479de1',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5finit_5f_5f_57',['__init__',['../classparselib_1_1compound_1_1aggregate.html#a75ac435387093f3d7f67f99d911af0bd',1,'parselib.compound.aggregate.__init__()'],['../classparselib_1_1primitives_1_1TypeContext.html#a3cc22af4685d7fcab36fa7a3d0ed4327',1,'parselib.primitives.TypeContext.__init__()'],['../classparselib_1_1primitives_1_1sc__in.html#a94581f761ccdddf5147dc505a4256e4c',1,'parselib.primitives.sc_in.__init__()'],['../classparselib_1_1primitives_1_1____func__inout.html#af23772f6b8f87d719c948194049a7b18',1,'parselib.primitives.__func_inout.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aff59c0786c617bce8acf9505f56d119c',1,'parselib.primitives.sc_rvd_out.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a575feed07fcfca94e9112bcec4573833',1,'parselib.primitives.sc_rvd_in.__init__()'],['../classparselib_1_1primitives_1_1sc__out.html#a83975ad8230f97e5a0a8dd2dbb3a2f3a',1,'parselib.primitives.sc_out.__init__()'],['../classparselib_1_1primitives_1_1sc__bv.html#a4563cbbb87bfd05c89adf04dee1368cb',1,'parselib.primitives.sc_bv.__init__()'],['../classparselib_1_1primitives_1_1sc__uint.html#acfc1339961c4975f5be9fa8e7578268a',1,'parselib.primitives.sc_uint.__init__()'],['../classparselib_1_1primitives_1_1sc__int.html#a1ae721700e5dd994c5a68738bfd47c0a',1,'parselib.primitives.sc_int.__init__()'],['../classparselib_1_1primitives_1_1sc__signal.html#a8517b39ae78dc965636fedaa739daf07',1,'parselib.primitives.sc_signal.__init__()'],['../classparselib_1_1primitives_1_1array.html#a5fbd6218a1147cace88a28f6ce69834e',1,'parselib.primitives.array.__init__()'],['../classparselib_1_1primitives_1_1vmodule.html#afac42dbe6105b51e0c3e5dd480511a0c',1,'parselib.primitives.vmodule.__init__()'],['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#af120ad7b0d1018003a040c2a687f7091',1,'parselib.transforms.alias_translation.AliasTranslation.__init__()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#abe033f40cb3e9c4b5ca5e351bbd3113d',1,'parselib.transforms.comma_transformation.CommaTransformation.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad2904cfee97a095ff0eb5eb122fee3d0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a60a6594c3ae451f514c0396e1e6d2e34',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__init__()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aab038b83eb00dcbd0353d0e9055799ce',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__init__()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a74d587efe7c6eaf2eaac63d10adf4481',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__init__()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a14b9a35dc058dafd90ce81a98c45f791',1,'parselib.transforms.literal_expansion.LiteralExpansion.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html#adbbb882a3fbd70ece1497f9baabfa884',1,'parselib.transforms.name_stub.FuncNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a7556b7dc8816d104b06507aa0f2b5c1e',1,'parselib.transforms.name_stub.FuncParamNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a93bd4d770d6de51a36c5e1cb911163b9',1,'parselib.transforms.name_stub.ProcessVarNameStub.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Node.html#a72f2659e2cd3c5b2c211ecba876e6f98',1,'parselib.transforms.node.Node.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Statement.html#a4f8f5bc26d71529db6fe7886351f4c73',1,'parselib.transforms.node.Statement.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Expression.html#a32d03402712c65c32a3014bb9ec43981',1,'parselib.transforms.node.Expression.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Always.html#aecc4c572d7921f4f02d5f6781208733c',1,'parselib.transforms.node.Always.__init__()'],['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html#aa044aff2adca9f433516ead9a25b70f4',1,'parselib.transforms.node.ArrayDeref.__init__()'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a5cb486566e31d58c38d5497646262d90',1,'parselib.transforms.node.TypeDefType.__init__()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#a4e75d22a9d5708888156aad86477b359',1,'parselib.transforms.node_movement.NodeMovement.__init__()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ab707ce3154a5c69ab6add0ff2a243d9f',1,'parselib.transforms.port_expansion.PortExpansion.__init__()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#ab7e5b0b1ee3f622c46430c7475156118',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.__init__()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad77ee9e82ba9e128c74f616e15f46db9',1,'parselib.transforms.slice_merge.SliceMerge.__init__()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#ade0417dd483bfb38a698e864c87a7e25',1,'parselib.transforms.structure_collector.StructureCollector.__init__()'],['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#af731a3cd4b79dc63ff11f48bc13a4916',1,'parselib.transforms.top_down.TopDown.__init__()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a58bc0f25d8495b5ee8e2ca6fb015eff7',1,'parselib.transforms.type_collector.TypeCollector.__init__()'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ac17d67e266d6bab49a9cc337c5a66a1b',1,'parselib.transforms.type_node.TypeNode.__init__()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab15cae3015a811031a6afcc93a2369df',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a150440df0382653296b4b80b4cd28a9b',1,'parselib.transforms.typedef_filter.TypeDefFilter.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a33d1facb43b18bf2225f0b492aa04b5a',1,'parselib.transforms.typedef_filter.TypeDefCleanup.__init__()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a889636db6d486806bc9906d66af8a5d7',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.__init__()'],['../classsystemc-clang_1_1UnconfiguredEnvironment.html#a95cf123bd093051e77630be37f121973',1,'systemc-clang.UnconfiguredEnvironment.__init__()'],['../classsystemc-clang_1_1SystemCClang.html#a3485bc07f8b15745fba2df8ee770cfbe',1,'systemc-clang.SystemCClang.__init__()']]], - ['_5f_5finit_5f_5f_2epy_58',['__init__.py',['../____init_____8py.html',1,'(Global Namespace)'],['../transforms_2____init_____8py.html',1,'(Global Namespace)']]], - ['_5f_5finit_5fsubclass_5f_5f_59',['__init_subclass__',['../classparselib_1_1primitives_1_1Primitive.html#a6056c7d979bf082ab416dbabade2c1f0',1,'parselib::primitives::Primitive']]], - ['_5f_5fint128_60',['__int128',['../classparselib_1_1primitives_1_1____int128.html',1,'parselib::primitives']]], - ['_5f_5fis_5fall_5fnone_61',['__is_all_none',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a375aaaa8d27497c25ba6c07fb3938f11',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fis_5fgenerated_5fsignal_62',['__is_generated_signal',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abbe279de8ab93aa02269616a511cd081',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fis_5fin_5ffunction_63',['__is_in_function',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a627926a7f7cbfa201676aae396b55bc4',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5fis_5fliteral_5fnode_64',['__is_literal_node',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a2d56f01448cc67e78a3a5e7f82e49480',1,'parselib::transforms::slice_merge::SliceMerge']]], - ['_5f_5fis_5flocal_5fvariable_65',['__is_local_variable',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8ee13dd138927f6bb4db4ef0b89de13',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fis_5fsynchronous_5fsensitivity_5flist_66',['__is_synchronous_sensitivity_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adbd7b5a49796f761610660c9a2ce8fc8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5flocal_5foutput_5fids_67',['__local_output_ids',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad37b44b1f88630a956a02d045851912b',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['_5f_5fnew_5f_5f_68',['__new__',['../classparselib_1_1primitives_1_1sc__biguint.html#a147b7fd4a1c292f35b4551658a8b5691',1,'parselib.primitives.sc_biguint.__new__()'],['../classparselib_1_1primitives_1_1double.html#a2803802f8957df289d4f0678c1cf7100',1,'parselib.primitives.double.__new__()'],['../classparselib_1_1primitives_1_1sc__bigint.html#a0109a61b0dfe00192aec6c85fd56f178',1,'parselib.primitives.sc_bigint.__new__()'],['../classparselib_1_1primitives_1_1____int128.html#a55b3636b2cccd53086d540dde609519b',1,'parselib.primitives.__int128.__new__()'],['../classparselib_1_1primitives_1_1unsigned______int128.html#a13b5055ec3e8d948e8c0c44091f10dac',1,'parselib.primitives.unsigned___int128.__new__()'],['../classparselib_1_1primitives_1_1long.html#a712a0097af5c8eb384254bdee618eeeb',1,'parselib.primitives.long.__new__()'],['../classparselib_1_1primitives_1_1unsigned__long.html#ae31272911369181d6fcfa9ffb87b7212',1,'parselib.primitives.unsigned_long.__new__()'],['../classparselib_1_1primitives_1_1cppbool.html#a743e92c894a8b4b8bc9232a5df5dc878',1,'parselib.primitives.cppbool.__new__()'],['../classparselib_1_1primitives_1_1cppint.html#a1976389cb69e45a1ca0e7ef8d0693973',1,'parselib.primitives.cppint.__new__()'],['../classparselib_1_1primitives_1_1cppchar.html#a97e04236dffc3922e7d69a5a945d1f9b',1,'parselib.primitives.cppchar.__new__()'],['../classparselib_1_1primitives_1_1cppsignedchar.html#a5badfb7a6813405339e73e632390dad8',1,'parselib.primitives.cppsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppunsignedchar.html#a7274602574f56975d659f64c0c882131',1,'parselib.primitives.cppunsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppuint.html#a9934f732b8b94c26471fc4b2d55b24d4',1,'parselib.primitives.cppuint.__new__()'],['../classparselib_1_1primitives_1_1cppshort.html#a67f78a9acafbf8a1d2d843e8548cb3aa',1,'parselib.primitives.cppshort.__new__()'],['../classparselib_1_1primitives_1_1cppushort.html#ab02caf36102ed2bb684164dec71feb9a',1,'parselib.primitives.cppushort.__new__()'],['../classparselib_1_1primitives_1_1cpplonglong.html#a3d52db208cb293266a430fe3ac7f1e06',1,'parselib.primitives.cpplonglong.__new__()'],['../classparselib_1_1primitives_1_1cppulonglong.html#ad10e25012d263be9a6d1bef6579cf484',1,'parselib.primitives.cppulonglong.__new__()'],['../classparselib_1_1primitives_1_1sc__clock.html#af3152700e48e217fb94f4a79f4e67c4b',1,'parselib.primitives.sc_clock.__new__()']]], - ['_5f_5fphantom_5fid_69',['__phantom_id',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ae44ddbd693fb4a7ed13900838d554eae',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['_5f_5fpush_5fup_70',['__push_up',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ae7c91b4c613b5267fef2d13aa0da3df6',1,'parselib::transforms::top_down::TopDown']]], - ['_5f_5frecord_5fdriven_5fsignal_71',['__record_driven_signal',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a64fdfe337aef00180a9eaa6875bb0b8e',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5freset_5flocal_5fvariables_72',['__reset_local_variables',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af7058ba8b0131842bce17e1a6aefd4c2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fscope_5fstack_73',['__scope_stack',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a641c7122bd582dc9dc499decba5d0353',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['_5f_5fsearch_5fcurrent_5ffunction_74',['__search_current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a3fe462760c1a5563c209378d1003b9ed',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__search_current_function()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a715fe51e1d9385abf640ba4f4b294689',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__search_current_function(self, func_name)']]], - ['_5f_5fsense_5flist_5fproc_5fname_75',['__sense_list_proc_name',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a9631dade25c9cf855b4a5b4a6d1a471c',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fset_5fexpanded_76',['__set_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#af51d80e587e2473d00cb490683d7e53d',1,'parselib.transforms.port_expansion.PortExpansion.__set_expanded()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#abcf4ff0db4483734c319fc85787e4376',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__set_expanded()']]], - ['_5f_5fto_5fseq_77',['__to_seq',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a82c99c0fac6e2898c8582981785950f5',1,'parselib::transforms::slice_merge::SliceMerge']]], - ['_5f_5ftop_5fsort_78',['__top_sort',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a01cb40863c5fea57f0da4a609433189a',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], - ['_5f_5ftop_5fsort_5fhelper_79',['__top_sort_helper',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#ad10fe596df7ae2aad0ee8d1cee9ac00d',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], - ['_5f_5ftransform_5farrayref_5fsyscread_80',['__transform_arrayref_syscread',['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#a788a2b25f09dac9a990fb990e26fd2a1',1,'parselib::transforms::node_merge::NodeMergePass']]], - ['_5fa_81',['_a',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5e1103b8eb9d8c7db7ff8e1f26c753c8',1,'systemc_clang::GlobalSuspensionAutomata::_a'],['../classsystemc__clang_1_1SuspensionAutomata.html#a663f244694c191ba60886a1d7ff1d69e',1,'systemc_clang::SuspensionAutomata::_a']]], - ['_5fadjedges_82',['_adjEdges',['../classsystemc__clang_1_1Graph.html#a4b709e07bf41b66ede6baad03d7b6045',1,'systemc_clang::Graph']]], - ['_5fadjlist_83',['_adjList',['../classsystemc__clang_1_1Graph.html#ab664bc6281dc4128bdb4f2841585f2a4',1,'systemc_clang::Graph']]], - ['_5fargs_84',['_args',['../classsystemc__clang_1_1NotifyContainer.html#a0e0f45623980f0a131338f6779808072',1,'systemc_clang::NotifyContainer::_args'],['../classsystemc__clang_1_1WaitContainer.html#ad4306c0b6861feb5ab14bee394db3d3a',1,'systemc_clang::WaitContainer::_args']]], - ['_5fastnode_85',['_astNode',['../classsystemc__clang_1_1NotifyContainer.html#ad71387555da5f3bc9c98121b8dbecea8',1,'systemc_clang::NotifyContainer::_astNode'],['../classsystemc__clang_1_1WaitContainer.html#a548cb03f1ad87b2d177606a1cb99299a',1,'systemc_clang::WaitContainer::_astNode']]], - ['_5fblock_86',['_block',['../classsystemc__clang_1_1SusCFG.html#a3682141568078686873931f366980623',1,'systemc_clang::SusCFG']]], - ['_5fblockidx_87',['_blockIdx',['../classsystemc__clang_1_1GPUMacro.html#a06f803a27fdc9e008f8cc1904400ec5c',1,'systemc_clang::GPUMacro']]], - ['_5fblockidy_88',['_blockIdy',['../classsystemc__clang_1_1GPUMacro.html#a4ca499a59b50cebf760f95c4220ef463',1,'systemc_clang::GPUMacro']]], - ['_5fblockidz_89',['_blockIdz',['../classsystemc__clang_1_1GPUMacro.html#a2b6b46b2957fbe97a815b437b3346f5b',1,'systemc_clang::GPUMacro']]], - ['_5fcfg_90',['_cfg',['../classsystemc__clang_1_1SuspensionAutomata.html#acaa091c3f5a5da97317e72edf6a9c3b9',1,'systemc_clang::SuspensionAutomata']]], - ['_5fchildblocklist_91',['_childBlockList',['../classsystemc__clang_1_1SusCFG.html#a8c586ab9a968beca5ed4afcc2d1fd796',1,'systemc_clang::SusCFG']]], - ['_5fclean_5fharrayref_92',['_clean_harrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a374067386d0bcc4398df59601f89e510',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5fcodeblockvector_93',['_codeBlockVector',['../classsystemc__clang_1_1Transition.html#a3144baa06f7eadb3d0242779761ef844',1,'systemc_clang::Transition']]], - ['_5fcommontimedpmap_94',['_commonTimeDPMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae81a2156319d9b225bb6ee85bee957b5',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fcputime_95',['_cpuTime',['../classsystemc__clang_1_1GPUMacro.html#a2575febfce15bdc3d8909cfb188fa680',1,'systemc_clang::GPUMacro']]], - ['_5fd_96',['_d',['../classsystemc__clang_1_1FindEntryFunctions.html#a9fd682ccbdb1781a4296ad41dadb7a65',1,'systemc_clang::FindEntryFunctions::_d'],['../classsystemc__clang_1_1SuspensionAutomata.html#a0b6737f9e665f2a3fb34612c226646c4',1,'systemc_clang::SuspensionAutomata::_d']]], - ['_5fedgeidvector_97',['_edgeIDVector',['../classsystemc__clang_1_1Graph.html#a4d7a95893a2a17af3272011da782d2d8',1,'systemc_clang::Graph']]], - ['_5fedgemap_98',['_edgeMap',['../classsystemc__clang_1_1Graph.html#aaf346483a16338109cfe22ae77b0aea7',1,'systemc_clang::Graph']]], - ['_5fedgevector_99',['_edgeVector',['../classsystemc__clang_1_1Graph.html#a2cf78aa48aae66f81e4acc6cbc4ef5e8',1,'systemc_clang::Graph']]], - ['_5fentryfunction_100',['_entryFunction',['../classsystemc__clang_1_1FindGPUMacro.html#a585f8511e9f89e8a2040b4fc3822e085',1,'systemc_clang::FindGPUMacro']]], - ['_5fentryfunctiongpumacromap_101',['_entryFunctionGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae47eb9e56122a81a612dd49c07b78d2c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fentrymethoddecl_102',['_entryMethodDecl',['../classsystemc__clang_1_1NotifyContainer.html#a880b3b35a4891b79c4480a6295b411c0',1,'systemc_clang::NotifyContainer::_entryMethodDecl'],['../classsystemc__clang_1_1WaitContainer.html#afca6258ebb75a011917d0ea446e74400',1,'systemc_clang::WaitContainer::_entryMethodDecl']]], - ['_5feventname_103',['_eventName',['../classsystemc__clang_1_1State.html#a1119f6704b5f0cbedc82150fbcda75ff',1,'systemc_clang::State']]], - ['_5feventnotificationtimemap_104',['_eventNotificationTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae3682ee2abc6d4ff164d1b6265807d75',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5ffinalstate_105',['_finalState',['../classsystemc__clang_1_1Transition.html#ad6d5fa8218ddc6aad6a5b16bdb8154e9',1,'systemc_clang::Transition']]], - ['_5fforstmtgpumacromap_106',['_forStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a0a3753815bf244ca9118488308efc72f',1,'systemc_clang::FindGPUMacro']]], - ['_5ffrom_107',['_from',['../classsystemc__clang_1_1Edge.html#aef197f91341fac47770628fa679fa4d6',1,'systemc_clang::Edge']]], - ['_5fget_5fop_5ftoken_5ffrom_5fcompound_5fassign_108',['_get_op_token_from_compound_assign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b591dba7a296190191457fc3abd1610',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['_5fget_5ftype_5faliases_109',['_get_type_aliases',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aba1685e703df381806fbaf6d0d63c1fc',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['_5fget_5ftype_5fname_110',['_get_type_name',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a00535fc1a365b488f6ac7ead73f8abe3',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['_5fget_5ftype_5fparams_111',['_get_type_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aee92cb980086f199bd45c301e22fa210',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['_5fglobalevents_112',['_globalEvents',['../classsystemc__clang_1_1FindGlobalEvents.html#af3ef958e39f096aa676e438c2141f099',1,'systemc_clang::FindGlobalEvents']]], - ['_5fglobalsauto_113',['_globalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1a92362fa42f934fe5a8f23f5e11d16',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fgpufit_114',['_gpuFit',['../classsystemc__clang_1_1GPUMacro.html#a9b1a0381f39e36104fad9f64658c8b1f',1,'systemc_clang::GPUMacro']]], - ['_5fgputime_115',['_gpuTime',['../classsystemc__clang_1_1GPUMacro.html#a16a787c0743b526b0f9fe0b3104369bb',1,'systemc_clang::GPUMacro']]], - ['_5fgsauto_116',['_gsauto',['../classTimeAnalysis.html#a5c8544a085d9507eefd6a2d57b539090',1,'TimeAnalysis']]], - ['_5fhandle_5fexception_5fand_5fexit_117',['_handle_exception_and_exit',['../namespacehcode2verilog.html#a2520d23ef107a459e73c30126acc4ffe',1,'hcode2verilog']]], - ['_5fid_118',['_id',['../classsystemc__clang_1_1Node.html#a2b8af978a9b20db2bd4fe262362365f0',1,'systemc_clang::Node::_id'],['../classsystemc__clang_1_1Edge.html#a7e6c2f9e7a22ac6a2073a25c2a570972',1,'systemc_clang::Edge::_id']]], - ['_5finclassevents_119',['_inClassEvents',['../classsystemc__clang_1_1FindEvents.html#a85185bd515298b5b90848c749c72c869',1,'systemc_clang::FindEvents']]], - ['_5fincomingtransitionsmap_120',['_incomingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a14b11f0d94e08f0ecb4af0f7fb88b3e8',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fininterfaces_121',['_inInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#aa08968acff3477361e7d916494dbf04d',1,'systemc_clang::FindTLMInterfaces']]], - ['_5finitialstate_122',['_initialState',['../classsystemc__clang_1_1Transition.html#ac24073e2755cb4cb513903c0c895bb91',1,'systemc_clang::Transition']]], - ['_5finoutinterfaces_123',['_inoutInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a646b49d4c33474ccbaee8c220c82eab3',1,'systemc_clang::FindTLMInterfaces']]], - ['_5finstancefunctionsautomap_124',['_instanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa88e19635275dcf98ca87f34a18cd776',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5finstanceid_125',['_instanceId',['../classsystemc__clang_1_1Transition.html#af7abe4ff5c0ede8c79638a7dff168491',1,'systemc_clang::Transition']]], - ['_5finstancenum_126',['_instanceNum',['../classsystemc__clang_1_1FindGPUMacro.html#aa10739a2026c42b81edccb90f8387ce4',1,'systemc_clang::FindGPUMacro']]], - ['_5finstantiate_127',['_instantiate',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#af4301c169f6323338e5b91295e9c173c',1,'parselib::transforms::node::TypeDefType']]], - ['_5fisdelta_128',['_isDelta',['../classsystemc__clang_1_1State.html#a8e768289fed0c75abb45ded3aff1338b',1,'systemc_clang::State']]], - ['_5fisevent_129',['_isEvent',['../classsystemc__clang_1_1State.html#aaa9a268d6bfd13a99e26004493e4c341',1,'systemc_clang::State']]], - ['_5fisgpufit_130',['_isGPUFit',['../classsystemc__clang_1_1SusCFG.html#ae51d413c93bdcf4dd7180a6409ff95bf',1,'systemc_clang::SusCFG']]], - ['_5fisinitial_131',['_isInitial',['../classsystemc__clang_1_1State.html#a4b583ff3fff98b1491d594d88807704a',1,'systemc_clang::State']]], - ['_5fisparentblock_132',['_isParentBlock',['../classsystemc__clang_1_1SusCFG.html#a8c0c8a42d56d68426ac177195ab39cc8',1,'systemc_clang::SusCFG']]], - ['_5fistimed_133',['_isTimed',['../classsystemc__clang_1_1State.html#a864e511f166adc2dcad06254f8a7974b',1,'systemc_clang::State']]], - ['_5fiswaitblock_134',['_isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a45c3ae09d9153dfb09c907aba5907e19',1,'systemc_clang::SusCFG']]], - ['_5fllvm_5finstall_5fpath_135',['_llvm_install_path',['../classsystemc-clang_1_1SystemCClang.html#ab6cec37133b8a50cc5c66247b98162d3',1,'systemc-clang::SystemCClang']]], - ['_5fmoduleinstancemap_136',['_moduleInstanceMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a02578ca27be242f0eaf48fbe37e36254',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fname_137',['_name',['../classsystemc__clang_1_1WaitCalls.html#af156d83dff7a2f621a621d86a04f0fcf',1,'systemc_clang::WaitCalls']]], - ['_5fnedges_138',['_nEdges',['../classsystemc__clang_1_1Graph.html#acf09b64d745c09f15760a531faa322af',1,'systemc_clang::Graph']]], - ['_5fnnodes_139',['_nNodes',['../classsystemc__clang_1_1Graph.html#a71e0b7e63897c0885beb33a17af84bb1',1,'systemc_clang::Graph']]], - ['_5fnodeidvector_140',['_nodeIDVector',['../classsystemc__clang_1_1Graph.html#af13eb33fa96442fe8ccc096b8b082a91',1,'systemc_clang::Graph']]], - ['_5fnodemap_141',['_nodeMap',['../classsystemc__clang_1_1Graph.html#af67d8d291a91ab917db2251f5f47431c',1,'systemc_clang::Graph']]], - ['_5fnodevector_142',['_nodeVector',['../classsystemc__clang_1_1Graph.html#ae649078866f29edd91b3ceb323158e27',1,'systemc_clang::Graph']]], - ['_5fnotifycalls_143',['_notifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a30b698f732bc7c49b54294d479e3b637',1,'systemc_clang::EntryFunctionContainer::_notifyCalls'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad02212ec8e0d4ae9c80d9f8beb47150e',1,'systemc_clang::GlobalSuspensionAutomata::_notifyCalls']]], - ['_5fnumargs_144',['_numArgs',['../classsystemc__clang_1_1NotifyContainer.html#aaf7a37b2aafeaa938ad3ae40806721c6',1,'systemc_clang::NotifyContainer::_numArgs'],['../classsystemc__clang_1_1WaitContainer.html#affc609e18961b48f54d0059b220645b0',1,'systemc_clang::WaitContainer::_numArgs']]], - ['_5fos_145',['_os',['../classsystemc__clang_1_1FindGlobalEvents.html#a4a33d4ffeb02939957b01f4a051db3b2',1,'systemc_clang::FindGlobalEvents::_os'],['../classsystemc__clang_1_1FindTLMInterfaces.html#a7feb7b27cadca0cd0362552ea2ee4cc5',1,'systemc_clang::FindTLMInterfaces::_os'],['../classsystemc__clang_1_1FindGPUMacro.html#af80cd73149352603f357c75377e93c5f',1,'systemc_clang::FindGPUMacro::_os'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a07a0cdab13d25f006b411f16363f83dc',1,'systemc_clang::GlobalSuspensionAutomata::_os'],['../classsystemc__clang_1_1SuspensionAutomata.html#a194e22c3a542e7068dbc2e1e9def1283',1,'systemc_clang::SuspensionAutomata::_os']]], - ['_5foutgoingtransitionsmap_146',['_outgoingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab7727d723e440cce6492538fc4adbac3',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5foutinterfaces_147',['_outInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a25d27b3f394c691071aa3497c52cd9c7',1,'systemc_clang::FindTLMInterfaces']]], - ['_5fparentcfgblock_148',['_parentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a64f7852a9f61968fab89572d1ec1b269',1,'systemc_clang::SusCFG']]], - ['_5fparentsuscfgblock_149',['_parentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a107aa1307d8ef6b18dd22ab34183575a',1,'systemc_clang::SusCFG']]], - ['_5fpass_150',['_pass',['../classsystemc__clang_1_1FindNetlist.html#acfb41e8566e17999fabd9584f97edeb2',1,'systemc_clang::FindNetlist']]], - ['_5fpredblocks_151',['_predBlocks',['../classsystemc__clang_1_1SusCFG.html#a9643182c4775869b388162bec9a06125',1,'systemc_clang::SusCFG']]], - ['_5fpreds_152',['_preds',['../classsystemc__clang_1_1Node.html#a50deecec30b605b718d204a185e94d56',1,'systemc_clang::Node']]], - ['_5fstatecommoncodeblockmap_153',['_stateCommonCodeBlockMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a2dc51afaae37f0bc70d7bd9555a14cd0',1,'systemc_clang::SuspensionAutomata']]], - ['_5fsuccblocks_154',['_succBlocks',['../classsystemc__clang_1_1SusCFG.html#afa744bc952a2909ae76d0668edf20cc5',1,'systemc_clang::SusCFG']]], - ['_5fsuccs_155',['_succs',['../classsystemc__clang_1_1Node.html#a50ec07cd2bd6574c73e0e0f33c35c45d',1,'systemc_clang::Node']]], - ['_5fsusauto_156',['_susAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5b0c72653fe7a6b6ba9ab0ef9e4928de',1,'systemc_clang::EntryFunctionContainer']]], - ['_5fsuscfg_157',['_susCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#a29c9490333ae4bc776d1554aee25299e',1,'systemc_clang::EntryFunctionContainer']]], - ['_5fsuscfgblock_158',['_susCFGBlock',['../classsystemc__clang_1_1State.html#aa7e3da9b2f104c454fa113a2a43d6fb8',1,'systemc_clang::State']]], - ['_5fsuscfgblockgpumacromap_159',['_susCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afc72d8f6d8094cfa0076217c415f7ff3',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fsuscfgvector_160',['_susCFGVector',['../classsystemc__clang_1_1SuspensionAutomata.html#a27275596fa8f06631c49ee5bb7b6451b',1,'systemc_clang::SuspensionAutomata']]], - ['_5fsystemc_5fclang_5fbuild_5fdir_161',['_systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a1da35c77e30a2bcae44dfb5cc99f2f5b',1,'systemc-clang::SystemCClang']]], - ['_5fsystemc_5fpath_162',['_systemc_path',['../classsystemc-clang_1_1SystemCClang.html#afb45d9e3a5c5c5ac80c95a453df80884',1,'systemc-clang::SystemCClang']]], - ['_5fsystemcmodel_163',['_systemcModel',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af0896e0c2ac16d8ef73e97759235c09c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fthreadidx_164',['_threadIdx',['../classsystemc__clang_1_1GPUMacro.html#aa11a64d7561d885020a41d1415d760d1',1,'systemc_clang::GPUMacro']]], - ['_5fthreadidy_165',['_threadIdy',['../classsystemc__clang_1_1GPUMacro.html#a1be3f3799006c7139cc3eac1e8d02fa8',1,'systemc_clang::GPUMacro']]], - ['_5fthreadidz_166',['_threadIdz',['../classsystemc__clang_1_1GPUMacro.html#aa0c70be95d461aff5063a21467906dc8',1,'systemc_clang::GPUMacro']]], - ['_5ftimeadvancevector_167',['_timeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a275236a8efc3e201d8b980fd0ec8b96b',1,'systemc_clang::Edge']]], - ['_5ftimeinns_168',['_timeInNS',['../classsystemc__clang_1_1State.html#afd087313ff6180a75f89ff5c73afec7e',1,'systemc_clang::State']]], - ['_5fto_169',['_to',['../classsystemc__clang_1_1Edge.html#aa668e70adba85721e85887dc47dfab8a',1,'systemc_clang::Edge']]], - ['_5ftransitiontimemap_170',['_transitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a75a3cb959657bf75967419d5bf9e7421',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5ftransitionvector_171',['_transitionVector',['../classsystemc__clang_1_1SuspensionAutomata.html#ade6ac88ba947cd76de77e64120f71acf',1,'systemc_clang::SuspensionAutomata']]], - ['_5fvisittransitionmap_172',['_visitTransitionMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1dd6e905aaeecd749e6f1258403e9dd',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fwaitcalls_173',['_waitCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a74bebabfa45c0882208ddd53289e942d',1,'systemc_clang::EntryFunctionContainer::_waitCalls'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6ca89c18a35d5437eb9dc4f086d0dd59',1,'systemc_clang::SuspensionAutomata::_waitCalls']]], - ['_5fwaitlist_174',['_waitList',['../classsystemc__clang_1_1WaitCalls.html#a594473e183e6738a56258c8428d55b2e',1,'systemc_clang::WaitCalls']]], - ['_5fwaitstmt_175',['_waitStmt',['../classsystemc__clang_1_1SusCFG.html#acc0768e947474bc4852c50ae4ec41d6b',1,'systemc_clang::SusCFG']]] + ['_5f_5fcheck_5fstmt_5fportbinding_9',['__check_stmt_portbinding',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a08fd6f885f903a6ec517c0d23d25e4ee',1,'parselib.transforms.port_expansion.PortExpansion.__check_stmt_portbinding()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a36dace98e2af5b323819c8342922c4c7',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__check_stmt_portbinding()']]], + ['_5f_5fcheck_5fsystemc_5fclang_5fexecutable_5fexists_10',['__check_systemc_clang_executable_exists',['../classsystemc-clang_1_1SystemCClang.html#a886ba420e781deb053c86a039b6cc0a4',1,'systemc-clang::SystemCClang']]], + ['_5f_5fclear_5fdriven_5fsignals_11',['__clear_driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a06c785cc6a1ae4c21e4300eb01321064',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5fcreate_5fsensevar_5fgenerate_5fblock_12',['__create_sensevar_generate_block',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a18562af80da6777a4ea3cf87cb10f601',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['_5f_5fcurrent_5ffunction_13',['__current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a270a67c8a5d0a3d26fffce93de41cce0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_function'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a78f7519760434f025b8995eef49beb78',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_function'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a4768999806511a6302b336b44421c42d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_function'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a377cd7f6006cc90a3e5492e98f676f56',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_function']]], + ['_5f_5fcurrent_5ffunctions_14',['__current_functions',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ab74d52142a91a6479279b0295bb33de4',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fcurrent_5fmodule_15',['__current_module',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9e06fa771d57818d746bf49e2f617938',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_module'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a245fa4047f659f25a2193998d4591078',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_module'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae97b5052f026e04b2d734ea2d86c0dfb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module']]], + ['_5f_5fcurrent_5fmodule_5fscope_5fvars_16',['__current_module_scope_vars',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a76a8bc899dd0f872ad5ec9b83870fd00',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fcurrent_5fmodule_5fsense_5flist_17',['__current_module_sense_list',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0b580bcad51a3e028900ce899cda9e20',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fcurrent_5fprocess_18',['__current_process',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aafee103f81f578691a69749a3516c494',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_process'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a4348e59fb86b7f81417013a94beedbd0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_process'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#af2f6b47a868f03793f1a96a32695f16d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_process'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a91194088f604ba9210f1154ae6cf3c92',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#adb320642862bb3f81a9b813796027cc8',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process']]], + ['_5f_5fcurrent_5fscope_5ftype_19',['__current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1145aedec0dcd0f6d89651f807df5701',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fcurrent_5fthread_20',['__current_thread',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a03b7e23d2d4bb9de8209de907f014479',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#afb7cc271be99505ecf8fb673b1bc2bc5',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aee07e11c19e77483f6cb325745e99359',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae22e4b263a909ed21d7867c100cdcd9b',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread']]], + ['_5f_5fdecl_5freferred_5fin_5fsensvar_21',['__decl_referred_in_sensvar',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#affdb6aa956b5b9af1bcf98858e7bab21',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['_5f_5fdefault_5f_5f_22',['__default__',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ac155340dcce91eddfd309b01e9829fb9',1,'parselib::transforms::top_down::TopDown']]], + ['_5f_5fdict_5f_5f_23',['__dict__',['../classparselib_1_1utils_1_1ContextManager.html#af3ed91f1212d599ad8dbd90b43e8d80e',1,'parselib::utils::ContextManager']]], + ['_5f_5fdriven_5fsignals_24',['__driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aded86f330d294350adb5f43a7e83b421',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5fenter_5f_5f_25',['__enter__',['../classparselib_1_1utils_1_1ContextManager.html#a61e789cf9d87b28b90fea4d395c2ca60',1,'parselib::utils::ContextManager']]], + ['_5f_5fexit_5f_5f_26',['__exit__',['../classparselib_1_1utils_1_1ContextManager.html#aaf258d4c4cdb1c1d7e576a070cd2adc3',1,'parselib::utils::ContextManager']]], + ['_5f_5fexpand_5fblkassign_27',['__expand_blkassign',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#adeb9e08be7040c35deab0b9171c764e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fdecl_5fin_5ftree_5fchildren_28',['__expand_decl_in_tree_children',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a830e3a92dfe1ec21d1971e0c70aac5eb',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fhelper_29',['__expand_helper',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa955ab787ae1cc50b051eb7261f25e36',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fhtype_30',['__expand_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a010aeb6d8c5a7dde8615d4c09b0f78c2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fport_31',['__expand_port',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#adbc629cd94ae1715c436a9b0c4027110',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fportdecltype_32',['__expand_portdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ceb6731d7e5e814e948fa2a0b8e02b8',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fsc_5frvd_33',['__expand_sc_rvd',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a360545a55ac21db4ca031a9718add78e',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fsc_5frvd_5fbinding_5fpair_34',['__expand_sc_rvd_binding_pair',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a2170b8f91078dd1ad217051112c6362b',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fsigdecltype_35',['__expand_sigdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1003f27ac98dc9599f399b457ba371e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5ftypeinfo_36',['__expand_typeinfo',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3b58996c8c77fdb8202d6dab15affff',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fvardecl_37',['__expand_vardecl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a96c238a1bac3338cc12302bff0c45d93',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fvardecltype_38',['__expand_vardecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1d9ef1fdbb91ccb4ab31af7aeab064e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpanded_5ftype_39',['__expanded_type',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a912ce0b3d5fcc8a4bae1d0ae2b0411e5',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fextract_5ffunc_5fdef_40',['__extract_func_def',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ac71393761e88e1b95c249d34851c5d2d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__extract_func_def()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a67ec1da85998f48bddb64239e9f866e7',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_func_def(self, tree)']]], + ['_5f_5fextract_5ffunc_5fid_41',['__extract_func_id',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af54ae98265633e260750b23775de262b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fextract_5fid_5ffrom_5ffunc_5farg_42',['__extract_id_from_func_arg',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a5f9b3618ef661ee119567d58cd5eb8cc',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fextract_5fname_5ffrom_5fmethod_5fargs_43',['__extract_name_from_method_args',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a51b2cf1d59d3711b7906076661c9fab6',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['_5f_5ffile_5finput_44',['__file_input',['../namespacehcode2verilog.html#a6b4daf8c789c285d8b0355aea9422bd4',1,'hcode2verilog']]], + ['_5f_5fforstmt_5fgen_5fblock_45',['__forstmt_gen_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7059da48f8e6545cbb944f7aa2b7e5a2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5ffunc_5finout_46',['__func_inout',['../classparselib_1_1primitives_1_1____func__inout.html',1,'parselib::primitives']]], + ['_5f_5ffunc_5fparam_5fstubs_47',['__func_param_stubs',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a008ae13ca9cf4ee3f9f4bd223d58384d',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fgen_5ffuncparam_48',['__gen_funcparam',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8f8a146e5fa27815e98d7adfb23f0b2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgenerate_5fhthread_5fblock_49',['__generate_hthread_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a326cb1a975a542145b87fc4507a47347',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgenerate_5fvars_5fdecl_50',['__generate_vars_decl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a47d6c20b440eedab233f2c861bf41930',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fget_5fcurrent_5fprocess_5fstub_51',['__get_current_process_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aadc3685d3fab8760bd6a9b8ed9b54c2b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fget_5fdriven_5fsig_5fname_52',['__get_driven_sig_name',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a341f4249ae83d12b8b18c611a8d91d90',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5fget_5fexpandable_5ftype_5ffrom_5fhtype_53',['__get_expandable_type_from_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aef07cb7842eb5bf3b085612dd1155143',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fget_5fexpandable_5fvar_5ffrom_5ftree_54',['__get_expandable_var_from_tree',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a47227c58c06f48a5d2da8fc24c26a16a',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fget_5fexpanded_55',['__get_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a3063f3ee7bea8db1e4766c719f9d6924',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fget_5ffunc_5fparam_5fstub_56',['__get_func_param_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a45a630400d75434194f37c955e134f7f',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fget_5fhdl_5ffile_5fout_57',['__get_hdl_file_out',['../classsystemc-clang_1_1SystemCClang.html#a38ef2a87efa868547b80205762748127',1,'systemc-clang::SystemCClang']]], + ['_5f_5fget_5fsources_5ffrom_5fargs_58',['__get_sources_from_args',['../classsystemc-clang_1_1SystemCClang.html#a16152f3d72cc5169c5f3e032cab68ef4',1,'systemc-clang::SystemCClang']]], + ['_5f_5fget_5fsystemc_5fclang_5foutput_5ffiles_59',['__get_systemc_clang_output_files',['../classsystemc-clang_1_1SystemCClang.html#a99992266160f42ba28f7f7b28f9fe3ae',1,'systemc-clang::SystemCClang']]], + ['_5f_5fget_5fvar_5fname_60',['__get_var_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab9aa2b4359145541d539d4249cfe4654',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fget_5fvar_5fnames_61',['__get_var_names',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8831314a94db86ff3abc2f32ae54f0b3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgetattr_5f_5f_62',['__getattr__',['../classparselib_1_1utils_1_1ContextManager.html#a909f07f31e82cfb2edd28a4d8a53676f',1,'parselib::utils::ContextManager']]], + ['_5f_5fin_5ffunction_63',['__in_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#adab5f8d336df61a3733363bcb8c9ff58',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['_5f_5fin_5fmodule_5fscope_64',['__in_module_scope',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7940dd7f50e8d67bf80965254b479de1',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5finit_5f_5f_65',['__init__',['../classparselib_1_1compound_1_1aggregate.html#a75ac435387093f3d7f67f99d911af0bd',1,'parselib.compound.aggregate.__init__()'],['../classparselib_1_1primitives_1_1TypeContext.html#a3cc22af4685d7fcab36fa7a3d0ed4327',1,'parselib.primitives.TypeContext.__init__()'],['../classparselib_1_1primitives_1_1sc__in.html#a94581f761ccdddf5147dc505a4256e4c',1,'parselib.primitives.sc_in.__init__()'],['../classparselib_1_1primitives_1_1____func__inout.html#af23772f6b8f87d719c948194049a7b18',1,'parselib.primitives.__func_inout.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aff59c0786c617bce8acf9505f56d119c',1,'parselib.primitives.sc_rvd_out.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a575feed07fcfca94e9112bcec4573833',1,'parselib.primitives.sc_rvd_in.__init__()'],['../classparselib_1_1primitives_1_1sc__out.html#a83975ad8230f97e5a0a8dd2dbb3a2f3a',1,'parselib.primitives.sc_out.__init__()'],['../classparselib_1_1primitives_1_1sc__bv.html#a4563cbbb87bfd05c89adf04dee1368cb',1,'parselib.primitives.sc_bv.__init__()'],['../classparselib_1_1primitives_1_1sc__uint.html#acfc1339961c4975f5be9fa8e7578268a',1,'parselib.primitives.sc_uint.__init__()'],['../classparselib_1_1primitives_1_1sc__int.html#a1ae721700e5dd994c5a68738bfd47c0a',1,'parselib.primitives.sc_int.__init__()'],['../classparselib_1_1primitives_1_1sc__signal.html#a8517b39ae78dc965636fedaa739daf07',1,'parselib.primitives.sc_signal.__init__()'],['../classparselib_1_1primitives_1_1array.html#a5fbd6218a1147cace88a28f6ce69834e',1,'parselib.primitives.array.__init__()'],['../classparselib_1_1primitives_1_1vmodule.html#afac42dbe6105b51e0c3e5dd480511a0c',1,'parselib.primitives.vmodule.__init__()'],['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#af120ad7b0d1018003a040c2a687f7091',1,'parselib.transforms.alias_translation.AliasTranslation.__init__()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#abe033f40cb3e9c4b5ca5e351bbd3113d',1,'parselib.transforms.comma_transformation.CommaTransformation.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad2904cfee97a095ff0eb5eb122fee3d0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a60a6594c3ae451f514c0396e1e6d2e34',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__init__()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aab038b83eb00dcbd0353d0e9055799ce',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__init__()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a74d587efe7c6eaf2eaac63d10adf4481',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__init__()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#ae981e00cef24379026445f7a3bd98174',1,'parselib.transforms.interface_generation.InterfaceGeneration.__init__()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a254032e5141f7e1bd57fa57c7370336a',1,'parselib.transforms.interface_generation.InterfaceReplacement.__init__()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a14b9a35dc058dafd90ce81a98c45f791',1,'parselib.transforms.literal_expansion.LiteralExpansion.__init__()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#a2d5fe026bcc4ab6ab5aa8479c12a47ba',1,'parselib.transforms.literal_expansion.LiteralExpansion2.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html#adbbb882a3fbd70ece1497f9baabfa884',1,'parselib.transforms.name_stub.FuncNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a7556b7dc8816d104b06507aa0f2b5c1e',1,'parselib.transforms.name_stub.FuncParamNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a93bd4d770d6de51a36c5e1cb911163b9',1,'parselib.transforms.name_stub.ProcessVarNameStub.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Node.html#a72f2659e2cd3c5b2c211ecba876e6f98',1,'parselib.transforms.node.Node.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Statement.html#a4f8f5bc26d71529db6fe7886351f4c73',1,'parselib.transforms.node.Statement.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Expression.html#a32d03402712c65c32a3014bb9ec43981',1,'parselib.transforms.node.Expression.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Always.html#aecc4c572d7921f4f02d5f6781208733c',1,'parselib.transforms.node.Always.__init__()'],['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html#aa044aff2adca9f433516ead9a25b70f4',1,'parselib.transforms.node.ArrayDeref.__init__()'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a5cb486566e31d58c38d5497646262d90',1,'parselib.transforms.node.TypeDefType.__init__()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#a4e75d22a9d5708888156aad86477b359',1,'parselib.transforms.node_movement.NodeMovement.__init__()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#ad28d834f80fbd63411e40602f50b6276',1,'parselib.transforms.node_movement.ArrayPortMovement.__init__()'],['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html#a7746fbe05f4b354c33aaafe2a77c17e6',1,'parselib.transforms.passes.PrettyPrintModule.__init__()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ab707ce3154a5c69ab6add0ff2a243d9f',1,'parselib.transforms.port_expansion.PortExpansion.__init__()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a723f945397622b7543c4c1ff77b1e8c9',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.__init__()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a07490cc25a5a78a1507071bd37ecf609',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.__init__()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ab2433ca4976867c3116dac2daa952ab9',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.__init__()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#ab7e5b0b1ee3f622c46430c7475156118',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.__init__()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a144d6e995d4f6ae2bd39bbd5e562d6da',1,'parselib.transforms.sensevar_movement.SensevarMovement.__init__()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad77ee9e82ba9e128c74f616e15f46db9',1,'parselib.transforms.slice_merge.SliceMerge.__init__()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#ade0417dd483bfb38a698e864c87a7e25',1,'parselib.transforms.structure_collector.StructureCollector.__init__()'],['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#af731a3cd4b79dc63ff11f48bc13a4916',1,'parselib.transforms.top_down.TopDown.__init__()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a58bc0f25d8495b5ee8e2ca6fb015eff7',1,'parselib.transforms.type_collector.TypeCollector.__init__()'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ac17d67e266d6bab49a9cc337c5a66a1b',1,'parselib.transforms.type_node.TypeNode.__init__()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab15cae3015a811031a6afcc93a2369df',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a150440df0382653296b4b80b4cd28a9b',1,'parselib.transforms.typedef_filter.TypeDefFilter.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a33d1facb43b18bf2225f0b492aa04b5a',1,'parselib.transforms.typedef_filter.TypeDefCleanup.__init__()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6336a9ebae79934b25dad1ec76811e93',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.__init__()'],['../classparselib_1_1utils_1_1ContextManager.html#aab05e2e85a734c0fc5cf216e46ca3f48',1,'parselib.utils.ContextManager.__init__()'],['../classsystemc-clang_1_1UnconfiguredEnvironment.html#a95cf123bd093051e77630be37f121973',1,'systemc-clang.UnconfiguredEnvironment.__init__()'],['../classsystemc-clang_1_1SystemCClang.html#a3485bc07f8b15745fba2df8ee770cfbe',1,'systemc-clang.SystemCClang.__init__()']]], + ['_5f_5finit_5f_5f_2epy_66',['__init__.py',['../____init_____8py.html',1,'(Global Namespace)'],['../transforms_2____init_____8py.html',1,'(Global Namespace)']]], + ['_5f_5finit_5fsubclass_5f_5f_67',['__init_subclass__',['../classparselib_1_1primitives_1_1Primitive.html#a6056c7d979bf082ab416dbabade2c1f0',1,'parselib::primitives::Primitive']]], + ['_5f_5fint128_68',['__int128',['../classparselib_1_1primitives_1_1____int128.html',1,'parselib::primitives']]], + ['_5f_5fis_5fall_5fnone_69',['__is_all_none',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a375aaaa8d27497c25ba6c07fb3938f11',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fis_5fgenerated_5fsignal_70',['__is_generated_signal',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abbe279de8ab93aa02269616a511cd081',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fis_5fin_5ffunction_71',['__is_in_function',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ade078eccc6734962eaca5878700687d3',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__is_in_function'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ac56f63181bc27e7074fb9ad8e1c90f99',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__is_in_function']]], + ['_5f_5fis_5fliteral_5fnode_72',['__is_literal_node',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a2d56f01448cc67e78a3a5e7f82e49480',1,'parselib::transforms::slice_merge::SliceMerge']]], + ['_5f_5fis_5flocal_5fvariable_73',['__is_local_variable',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8ee13dd138927f6bb4db4ef0b89de13',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fis_5fsynchronous_5fsensitivity_5flist_74',['__is_synchronous_sensitivity_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adbd7b5a49796f761610660c9a2ce8fc8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5flocal_5foutput_5fids_75',['__local_output_ids',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad37b44b1f88630a956a02d045851912b',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['_5f_5fnew_5f_5f_76',['__new__',['../classparselib_1_1primitives_1_1sc__biguint.html#a147b7fd4a1c292f35b4551658a8b5691',1,'parselib.primitives.sc_biguint.__new__()'],['../classparselib_1_1primitives_1_1double.html#a2803802f8957df289d4f0678c1cf7100',1,'parselib.primitives.double.__new__()'],['../classparselib_1_1primitives_1_1sc__bigint.html#a0109a61b0dfe00192aec6c85fd56f178',1,'parselib.primitives.sc_bigint.__new__()'],['../classparselib_1_1primitives_1_1____int128.html#a55b3636b2cccd53086d540dde609519b',1,'parselib.primitives.__int128.__new__()'],['../classparselib_1_1primitives_1_1unsigned______int128.html#a13b5055ec3e8d948e8c0c44091f10dac',1,'parselib.primitives.unsigned___int128.__new__()'],['../classparselib_1_1primitives_1_1long.html#a712a0097af5c8eb384254bdee618eeeb',1,'parselib.primitives.long.__new__()'],['../classparselib_1_1primitives_1_1unsigned__long.html#ae31272911369181d6fcfa9ffb87b7212',1,'parselib.primitives.unsigned_long.__new__()'],['../classparselib_1_1primitives_1_1cppbool.html#a743e92c894a8b4b8bc9232a5df5dc878',1,'parselib.primitives.cppbool.__new__()'],['../classparselib_1_1primitives_1_1cppint.html#a1976389cb69e45a1ca0e7ef8d0693973',1,'parselib.primitives.cppint.__new__()'],['../classparselib_1_1primitives_1_1cppchar.html#a97e04236dffc3922e7d69a5a945d1f9b',1,'parselib.primitives.cppchar.__new__()'],['../classparselib_1_1primitives_1_1cppsignedchar.html#a5badfb7a6813405339e73e632390dad8',1,'parselib.primitives.cppsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppunsignedchar.html#a7274602574f56975d659f64c0c882131',1,'parselib.primitives.cppunsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppuint.html#a9934f732b8b94c26471fc4b2d55b24d4',1,'parselib.primitives.cppuint.__new__()'],['../classparselib_1_1primitives_1_1cppshort.html#a67f78a9acafbf8a1d2d843e8548cb3aa',1,'parselib.primitives.cppshort.__new__()'],['../classparselib_1_1primitives_1_1cppushort.html#ab02caf36102ed2bb684164dec71feb9a',1,'parselib.primitives.cppushort.__new__()'],['../classparselib_1_1primitives_1_1cpplonglong.html#a3d52db208cb293266a430fe3ac7f1e06',1,'parselib.primitives.cpplonglong.__new__()'],['../classparselib_1_1primitives_1_1cppulonglong.html#ad10e25012d263be9a6d1bef6579cf484',1,'parselib.primitives.cppulonglong.__new__()'],['../classparselib_1_1primitives_1_1sc__clock.html#af3152700e48e217fb94f4a79f4e67c4b',1,'parselib.primitives.sc_clock.__new__()']]], + ['_5f_5fphantom_5fid_77',['__phantom_id',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ae44ddbd693fb4a7ed13900838d554eae',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['_5f_5fpush_5fup_78',['__push_up',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ae7c91b4c613b5267fef2d13aa0da3df6',1,'parselib::transforms::top_down::TopDown']]], + ['_5f_5frecord_5fdriven_5fsignal_79',['__record_driven_signal',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a64fdfe337aef00180a9eaa6875bb0b8e',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5freset_5flocal_5fvariables_80',['__reset_local_variables',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af7058ba8b0131842bce17e1a6aefd4c2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fscope_5fstack_81',['__scope_stack',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a641c7122bd582dc9dc499decba5d0353',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['_5f_5fsearch_5fcurrent_5ffunction_82',['__search_current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a3fe462760c1a5563c209378d1003b9ed',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__search_current_function()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a715fe51e1d9385abf640ba4f4b294689',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__search_current_function(self, func_name)']]], + ['_5f_5fsense_5flist_5fproc_5fname_83',['__sense_list_proc_name',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a9631dade25c9cf855b4a5b4a6d1a471c',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fset_5fexpanded_84',['__set_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#af51d80e587e2473d00cb490683d7e53d',1,'parselib.transforms.port_expansion.PortExpansion.__set_expanded()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#abcf4ff0db4483734c319fc85787e4376',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__set_expanded()']]], + ['_5f_5fto_5fseq_85',['__to_seq',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a82c99c0fac6e2898c8582981785950f5',1,'parselib::transforms::slice_merge::SliceMerge']]], + ['_5f_5ftop_5fsort_86',['__top_sort',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a01cb40863c5fea57f0da4a609433189a',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], + ['_5f_5ftop_5fsort_5fhelper_87',['__top_sort_helper',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#ad10fe596df7ae2aad0ee8d1cee9ac00d',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], + ['_5f_5ftransform_5farrayref_5fsyscread_88',['__transform_arrayref_syscread',['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#a788a2b25f09dac9a990fb990e26fd2a1',1,'parselib::transforms::node_merge::NodeMergePass']]], + ['_5fa_89',['_a',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5e1103b8eb9d8c7db7ff8e1f26c753c8',1,'systemc_clang::GlobalSuspensionAutomata::_a'],['../classsystemc__clang_1_1SuspensionAutomata.html#a663f244694c191ba60886a1d7ff1d69e',1,'systemc_clang::SuspensionAutomata::_a']]], + ['_5fadjedges_90',['_adjEdges',['../classsystemc__clang_1_1Graph.html#a4b709e07bf41b66ede6baad03d7b6045',1,'systemc_clang::Graph']]], + ['_5fadjlist_91',['_adjList',['../classsystemc__clang_1_1Graph.html#ab664bc6281dc4128bdb4f2841585f2a4',1,'systemc_clang::Graph']]], + ['_5fargs_92',['_args',['../classsystemc__clang_1_1NotifyContainer.html#a0e0f45623980f0a131338f6779808072',1,'systemc_clang::NotifyContainer::_args'],['../classsystemc__clang_1_1WaitContainer.html#ad4306c0b6861feb5ab14bee394db3d3a',1,'systemc_clang::WaitContainer::_args']]], + ['_5fastnode_93',['_astNode',['../classsystemc__clang_1_1NotifyContainer.html#ad71387555da5f3bc9c98121b8dbecea8',1,'systemc_clang::NotifyContainer::_astNode'],['../classsystemc__clang_1_1WaitContainer.html#a548cb03f1ad87b2d177606a1cb99299a',1,'systemc_clang::WaitContainer::_astNode']]], + ['_5fblock_94',['_block',['../classsystemc__clang_1_1SusCFG.html#a3682141568078686873931f366980623',1,'systemc_clang::SusCFG']]], + ['_5fblockidx_95',['_blockIdx',['../classsystemc__clang_1_1GPUMacro.html#a06f803a27fdc9e008f8cc1904400ec5c',1,'systemc_clang::GPUMacro']]], + ['_5fblockidy_96',['_blockIdy',['../classsystemc__clang_1_1GPUMacro.html#a4ca499a59b50cebf760f95c4220ef463',1,'systemc_clang::GPUMacro']]], + ['_5fblockidz_97',['_blockIdz',['../classsystemc__clang_1_1GPUMacro.html#a2b6b46b2957fbe97a815b437b3346f5b',1,'systemc_clang::GPUMacro']]], + ['_5fcfg_98',['_cfg',['../classsystemc__clang_1_1SuspensionAutomata.html#acaa091c3f5a5da97317e72edf6a9c3b9',1,'systemc_clang::SuspensionAutomata']]], + ['_5fchildblocklist_99',['_childBlockList',['../classsystemc__clang_1_1SusCFG.html#a8c586ab9a968beca5ed4afcc2d1fd796',1,'systemc_clang::SusCFG']]], + ['_5fclean_5fharrayref_100',['_clean_harrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a374067386d0bcc4398df59601f89e510',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5fcodeblockvector_101',['_codeBlockVector',['../classsystemc__clang_1_1Transition.html#a3144baa06f7eadb3d0242779761ef844',1,'systemc_clang::Transition']]], + ['_5fcommontimedpmap_102',['_commonTimeDPMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae81a2156319d9b225bb6ee85bee957b5',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fcputime_103',['_cpuTime',['../classsystemc__clang_1_1GPUMacro.html#a2575febfce15bdc3d8909cfb188fa680',1,'systemc_clang::GPUMacro']]], + ['_5fd_104',['_d',['../classsystemc__clang_1_1FindEntryFunctions.html#a9fd682ccbdb1781a4296ad41dadb7a65',1,'systemc_clang::FindEntryFunctions::_d'],['../classsystemc__clang_1_1SuspensionAutomata.html#a0b6737f9e665f2a3fb34612c226646c4',1,'systemc_clang::SuspensionAutomata::_d']]], + ['_5fedgeidvector_105',['_edgeIDVector',['../classsystemc__clang_1_1Graph.html#a4d7a95893a2a17af3272011da782d2d8',1,'systemc_clang::Graph']]], + ['_5fedgemap_106',['_edgeMap',['../classsystemc__clang_1_1Graph.html#aaf346483a16338109cfe22ae77b0aea7',1,'systemc_clang::Graph']]], + ['_5fedgevector_107',['_edgeVector',['../classsystemc__clang_1_1Graph.html#a2cf78aa48aae66f81e4acc6cbc4ef5e8',1,'systemc_clang::Graph']]], + ['_5fentryfunction_108',['_entryFunction',['../classsystemc__clang_1_1FindGPUMacro.html#a585f8511e9f89e8a2040b4fc3822e085',1,'systemc_clang::FindGPUMacro']]], + ['_5fentryfunctiongpumacromap_109',['_entryFunctionGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae47eb9e56122a81a612dd49c07b78d2c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fentrymethoddecl_110',['_entryMethodDecl',['../classsystemc__clang_1_1NotifyContainer.html#a880b3b35a4891b79c4480a6295b411c0',1,'systemc_clang::NotifyContainer::_entryMethodDecl'],['../classsystemc__clang_1_1WaitContainer.html#afca6258ebb75a011917d0ea446e74400',1,'systemc_clang::WaitContainer::_entryMethodDecl']]], + ['_5feventname_111',['_eventName',['../classsystemc__clang_1_1State.html#a1119f6704b5f0cbedc82150fbcda75ff',1,'systemc_clang::State']]], + ['_5feventnotificationtimemap_112',['_eventNotificationTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae3682ee2abc6d4ff164d1b6265807d75',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5ffinalstate_113',['_finalState',['../classsystemc__clang_1_1Transition.html#ad6d5fa8218ddc6aad6a5b16bdb8154e9',1,'systemc_clang::Transition']]], + ['_5fforstmtgpumacromap_114',['_forStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a0a3753815bf244ca9118488308efc72f',1,'systemc_clang::FindGPUMacro']]], + ['_5ffrom_115',['_from',['../classsystemc__clang_1_1Edge.html#aef197f91341fac47770628fa679fa4d6',1,'systemc_clang::Edge']]], + ['_5fget_5finterface_5finstance_116',['_get_interface_instance',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad6892aeec8082039b782ba468cc5bd87',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5fget_5finterface_5finstance_5fdecl_117',['_get_interface_instance_decl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a76da13151eeb7a526c806621b4f0d066',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5fget_5fop_5ftoken_5ffrom_5fcompound_5fassign_118',['_get_op_token_from_compound_assign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b591dba7a296190191457fc3abd1610',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['_5fget_5fport_5fbinding_5fmoduel_119',['_get_port_binding_moduel',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aaa9cead2f424b4442b3acb6733f31b89',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['_5fget_5ftype_5faliases_120',['_get_type_aliases',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aba1685e703df381806fbaf6d0d63c1fc',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['_5fget_5ftype_5fname_121',['_get_type_name',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a00535fc1a365b488f6ac7ead73f8abe3',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['_5fget_5ftype_5fparams_122',['_get_type_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aee92cb980086f199bd45c301e22fa210',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['_5fglobalevents_123',['_globalEvents',['../classsystemc__clang_1_1FindGlobalEvents.html#af3ef958e39f096aa676e438c2141f099',1,'systemc_clang::FindGlobalEvents']]], + ['_5fglobalsauto_124',['_globalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1a92362fa42f934fe5a8f23f5e11d16',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fgpufit_125',['_gpuFit',['../classsystemc__clang_1_1GPUMacro.html#a9b1a0381f39e36104fad9f64658c8b1f',1,'systemc_clang::GPUMacro']]], + ['_5fgputime_126',['_gpuTime',['../classsystemc__clang_1_1GPUMacro.html#a16a787c0743b526b0f9fe0b3104369bb',1,'systemc_clang::GPUMacro']]], + ['_5fgsauto_127',['_gsauto',['../classTimeAnalysis.html#a5c8544a085d9507eefd6a2d57b539090',1,'TimeAnalysis']]], + ['_5fhandle_5fexception_5fand_5fexit_128',['_handle_exception_and_exit',['../namespacehcode2verilog.html#a2520d23ef107a459e73c30126acc4ffe',1,'hcode2verilog']]], + ['_5fhtype_129',['_htype',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a2bed0c248c3f0f64f452c2eabb428a0a',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5fid_130',['_id',['../classsystemc__clang_1_1Node.html#a2b8af978a9b20db2bd4fe262362365f0',1,'systemc_clang::Node::_id'],['../classsystemc__clang_1_1Edge.html#a7e6c2f9e7a22ac6a2073a25c2a570972',1,'systemc_clang::Edge::_id']]], + ['_5finclassevents_131',['_inClassEvents',['../classsystemc__clang_1_1FindEvents.html#a85185bd515298b5b90848c749c72c869',1,'systemc_clang::FindEvents']]], + ['_5fincomingtransitionsmap_132',['_incomingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a14b11f0d94e08f0ecb4af0f7fb88b3e8',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fininterfaces_133',['_inInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#aa08968acff3477361e7d916494dbf04d',1,'systemc_clang::FindTLMInterfaces']]], + ['_5finitialstate_134',['_initialState',['../classsystemc__clang_1_1Transition.html#ac24073e2755cb4cb513903c0c895bb91',1,'systemc_clang::Transition']]], + ['_5finoutinterfaces_135',['_inoutInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a646b49d4c33474ccbaee8c220c82eab3',1,'systemc_clang::FindTLMInterfaces']]], + ['_5finstancefunctionsautomap_136',['_instanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa88e19635275dcf98ca87f34a18cd776',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5finstanceid_137',['_instanceId',['../classsystemc__clang_1_1Transition.html#af7abe4ff5c0ede8c79638a7dff168491',1,'systemc_clang::Transition']]], + ['_5finstancenum_138',['_instanceNum',['../classsystemc__clang_1_1FindGPUMacro.html#aa10739a2026c42b81edccb90f8387ce4',1,'systemc_clang::FindGPUMacro']]], + ['_5finstantiate_139',['_instantiate',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#af4301c169f6323338e5b91295e9c173c',1,'parselib::transforms::node::TypeDefType']]], + ['_5fisdelta_140',['_isDelta',['../classsystemc__clang_1_1State.html#a8e768289fed0c75abb45ded3aff1338b',1,'systemc_clang::State']]], + ['_5fisevent_141',['_isEvent',['../classsystemc__clang_1_1State.html#aaa9a268d6bfd13a99e26004493e4c341',1,'systemc_clang::State']]], + ['_5fisgpufit_142',['_isGPUFit',['../classsystemc__clang_1_1SusCFG.html#ae51d413c93bdcf4dd7180a6409ff95bf',1,'systemc_clang::SusCFG']]], + ['_5fisinitial_143',['_isInitial',['../classsystemc__clang_1_1State.html#a4b583ff3fff98b1491d594d88807704a',1,'systemc_clang::State']]], + ['_5fisparentblock_144',['_isParentBlock',['../classsystemc__clang_1_1SusCFG.html#a8c0c8a42d56d68426ac177195ab39cc8',1,'systemc_clang::SusCFG']]], + ['_5fistimed_145',['_isTimed',['../classsystemc__clang_1_1State.html#a864e511f166adc2dcad06254f8a7974b',1,'systemc_clang::State']]], + ['_5fiswaitblock_146',['_isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a45c3ae09d9153dfb09c907aba5907e19',1,'systemc_clang::SusCFG']]], + ['_5fllvm_5finstall_5fpath_147',['_llvm_install_path',['../classsystemc-clang_1_1SystemCClang.html#ab6cec37133b8a50cc5c66247b98162d3',1,'systemc-clang::SystemCClang']]], + ['_5fmodportsiglist_148',['_modportsiglist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#adaba5788893207893ee962ed160da7e8',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5fmoduleinstancemap_149',['_moduleInstanceMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a02578ca27be242f0eaf48fbe37e36254',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fname_150',['_name',['../classsystemc__clang_1_1WaitCalls.html#af156d83dff7a2f621a621d86a04f0fcf',1,'systemc_clang::WaitCalls']]], + ['_5fnedges_151',['_nEdges',['../classsystemc__clang_1_1Graph.html#acf09b64d745c09f15760a531faa322af',1,'systemc_clang::Graph']]], + ['_5fnnodes_152',['_nNodes',['../classsystemc__clang_1_1Graph.html#a71e0b7e63897c0885beb33a17af84bb1',1,'systemc_clang::Graph']]], + ['_5fnodeidvector_153',['_nodeIDVector',['../classsystemc__clang_1_1Graph.html#af13eb33fa96442fe8ccc096b8b082a91',1,'systemc_clang::Graph']]], + ['_5fnodemap_154',['_nodeMap',['../classsystemc__clang_1_1Graph.html#af67d8d291a91ab917db2251f5f47431c',1,'systemc_clang::Graph']]], + ['_5fnodevector_155',['_nodeVector',['../classsystemc__clang_1_1Graph.html#ae649078866f29edd91b3ceb323158e27',1,'systemc_clang::Graph']]], + ['_5fnotifycalls_156',['_notifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a30b698f732bc7c49b54294d479e3b637',1,'systemc_clang::EntryFunctionContainer::_notifyCalls'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad02212ec8e0d4ae9c80d9f8beb47150e',1,'systemc_clang::GlobalSuspensionAutomata::_notifyCalls']]], + ['_5fnumargs_157',['_numArgs',['../classsystemc__clang_1_1NotifyContainer.html#aaf7a37b2aafeaa938ad3ae40806721c6',1,'systemc_clang::NotifyContainer::_numArgs'],['../classsystemc__clang_1_1WaitContainer.html#affc609e18961b48f54d0059b220645b0',1,'systemc_clang::WaitContainer::_numArgs']]], + ['_5fos_158',['_os',['../classsystemc__clang_1_1FindGlobalEvents.html#a4a33d4ffeb02939957b01f4a051db3b2',1,'systemc_clang::FindGlobalEvents::_os'],['../classsystemc__clang_1_1FindTLMInterfaces.html#a7feb7b27cadca0cd0362552ea2ee4cc5',1,'systemc_clang::FindTLMInterfaces::_os'],['../classsystemc__clang_1_1FindGPUMacro.html#af80cd73149352603f357c75377e93c5f',1,'systemc_clang::FindGPUMacro::_os'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a07a0cdab13d25f006b411f16363f83dc',1,'systemc_clang::GlobalSuspensionAutomata::_os'],['../classsystemc__clang_1_1SuspensionAutomata.html#a194e22c3a542e7068dbc2e1e9def1283',1,'systemc_clang::SuspensionAutomata::_os']]], + ['_5foutgoingtransitionsmap_159',['_outgoingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab7727d723e440cce6492538fc4adbac3',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5foutinterfaces_160',['_outInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a25d27b3f394c691071aa3497c52cd9c7',1,'systemc_clang::FindTLMInterfaces']]], + ['_5fparentcfgblock_161',['_parentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a64f7852a9f61968fab89572d1ec1b269',1,'systemc_clang::SusCFG']]], + ['_5fparentsuscfgblock_162',['_parentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a107aa1307d8ef6b18dd22ab34183575a',1,'systemc_clang::SusCFG']]], + ['_5fpass_163',['_pass',['../classsystemc__clang_1_1FindNetlist.html#acfb41e8566e17999fabd9584f97edeb2',1,'systemc_clang::FindNetlist']]], + ['_5fportdecltype_164',['_portdecltype',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a2ab24ef01b27eacaca05e3948a7ef1b7',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5fportdecltype_5fto_5fsigdecltype_165',['_portdecltype_to_sigdecltype',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a7189d5f21468766b85a670e80f1063ac',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5fpredblocks_166',['_predBlocks',['../classsystemc__clang_1_1SusCFG.html#a9643182c4775869b388162bec9a06125',1,'systemc_clang::SusCFG']]], + ['_5fpreds_167',['_preds',['../classsystemc__clang_1_1Node.html#a50deecec30b605b718d204a185e94d56',1,'systemc_clang::Node']]], + ['_5fstatecommoncodeblockmap_168',['_stateCommonCodeBlockMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a2dc51afaae37f0bc70d7bd9555a14cd0',1,'systemc_clang::SuspensionAutomata']]], + ['_5fsuccblocks_169',['_succBlocks',['../classsystemc__clang_1_1SusCFG.html#afa744bc952a2909ae76d0668edf20cc5',1,'systemc_clang::SusCFG']]], + ['_5fsuccs_170',['_succs',['../classsystemc__clang_1_1Node.html#a50ec07cd2bd6574c73e0e0f33c35c45d',1,'systemc_clang::Node']]], + ['_5fsusauto_171',['_susAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5b0c72653fe7a6b6ba9ab0ef9e4928de',1,'systemc_clang::EntryFunctionContainer']]], + ['_5fsuscfg_172',['_susCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#a29c9490333ae4bc776d1554aee25299e',1,'systemc_clang::EntryFunctionContainer']]], + ['_5fsuscfgblock_173',['_susCFGBlock',['../classsystemc__clang_1_1State.html#aa7e3da9b2f104c454fa113a2a43d6fb8',1,'systemc_clang::State']]], + ['_5fsuscfgblockgpumacromap_174',['_susCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afc72d8f6d8094cfa0076217c415f7ff3',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fsuscfgvector_175',['_susCFGVector',['../classsystemc__clang_1_1SuspensionAutomata.html#a27275596fa8f06631c49ee5bb7b6451b',1,'systemc_clang::SuspensionAutomata']]], + ['_5fsystemc_5fclang_5fbuild_5fdir_176',['_systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a1da35c77e30a2bcae44dfb5cc99f2f5b',1,'systemc-clang::SystemCClang']]], + ['_5fsystemc_5fpath_177',['_systemc_path',['../classsystemc-clang_1_1SystemCClang.html#afb45d9e3a5c5c5ac80c95a453df80884',1,'systemc-clang::SystemCClang']]], + ['_5fsystemcmodel_178',['_systemcModel',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af0896e0c2ac16d8ef73e97759235c09c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fthreadidx_179',['_threadIdx',['../classsystemc__clang_1_1GPUMacro.html#aa11a64d7561d885020a41d1415d760d1',1,'systemc_clang::GPUMacro']]], + ['_5fthreadidy_180',['_threadIdy',['../classsystemc__clang_1_1GPUMacro.html#a1be3f3799006c7139cc3eac1e8d02fa8',1,'systemc_clang::GPUMacro']]], + ['_5fthreadidz_181',['_threadIdz',['../classsystemc__clang_1_1GPUMacro.html#aa0c70be95d461aff5063a21467906dc8',1,'systemc_clang::GPUMacro']]], + ['_5ftimeadvancevector_182',['_timeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a275236a8efc3e201d8b980fd0ec8b96b',1,'systemc_clang::Edge']]], + ['_5ftimeinns_183',['_timeInNS',['../classsystemc__clang_1_1State.html#afd087313ff6180a75f89ff5c73afec7e',1,'systemc_clang::State']]], + ['_5fto_184',['_to',['../classsystemc__clang_1_1Edge.html#aa668e70adba85721e85887dc47dfab8a',1,'systemc_clang::Edge']]], + ['_5ftransitiontimemap_185',['_transitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a75a3cb959657bf75967419d5bf9e7421',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5ftransitionvector_186',['_transitionVector',['../classsystemc__clang_1_1SuspensionAutomata.html#ade6ac88ba947cd76de77e64120f71acf',1,'systemc_clang::SuspensionAutomata']]], + ['_5fvisittransitionmap_187',['_visitTransitionMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1dd6e905aaeecd749e6f1258403e9dd',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fwaitcalls_188',['_waitCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a74bebabfa45c0882208ddd53289e942d',1,'systemc_clang::EntryFunctionContainer::_waitCalls'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6ca89c18a35d5437eb9dc4f086d0dd59',1,'systemc_clang::SuspensionAutomata::_waitCalls']]], + ['_5fwaitlist_189',['_waitList',['../classsystemc__clang_1_1WaitCalls.html#a594473e183e6738a56258c8428d55b2e',1,'systemc_clang::WaitCalls']]], + ['_5fwaitstmt_190',['_waitStmt',['../classsystemc__clang_1_1SusCFG.html#acc0768e947474bc4852c50ae4ec41d6b',1,'systemc_clang::SusCFG']]] ]; diff --git a/search/all_1.js b/search/all_1.js index c906f3a4..a779ab8a 100644 --- a/search/all_1.js +++ b/search/all_1.js @@ -7,101 +7,103 @@ var searchData= ['add_5fid_5ftype_4',['add_id_type',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a3d8eaadc3e41818d6eca4d69f0fb21b3',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], ['add_5finfo_5',['add_info',['../classsystemc__hdl_1_1HDLBody.html#a1384bcc5e7228663ec7cb0ccc6eef41e',1,'systemc_hdl::HDLBody::add_info'],['../classsystemc__hdl_1_1HDLThread.html#a8eebbacda7a5508131a42576460b57bb',1,'systemc_hdl::HDLThread::add_info']]], ['add_5finstance_5fname_6',['add_instance_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a10969391ab3bdda46fddafea615a17c0',1,'sc_ast_matchers::ModuleInstanceType']]], - ['addarraysize_7',['addArraySize',['../classsystemc__clang_1_1PortDecl.html#a5b5e271b1bd0ae65bf341f208a2f057c',1,'systemc_clang::PortDecl']]], - ['addarraysizes_8',['addArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#af3c77277d6002bbc654332fcff9ac1de',1,'sc_ast_matchers::ModuleInstanceType']]], - ['addbaseinstance_9',['addBaseInstance',['../classsystemc__clang_1_1ModuleInstance.html#a2e926b417ec0d2b6867e6bb36ffdf40a',1,'systemc_clang::ModuleInstance']]], - ['addchildblocklist_10',['addChildBlockList',['../classsystemc__clang_1_1SusCFG.html#af72da676ff0fbef5507b3dfee9ee37ae',1,'systemc_clang::SusCFG::addChildBlockList(SusCFG *)'],['../classsystemc__clang_1_1SusCFG.html#ab54ac708721fc38d63829097d5fd5f57',1,'systemc_clang::SusCFG::addChildBlockList(vector< SusCFG * >)']]], - ['addcodeblocks_11',['addCodeBlocks',['../classsystemc__clang_1_1Transition.html#aaa602753672b3b5a9a78f86ff0118884',1,'systemc_clang::Transition::addCodeBlocks(SusCFG *)'],['../classsystemc__clang_1_1Transition.html#ad5cd483e40adc1e02fe5ce2b1b988a37',1,'systemc_clang::Transition::addCodeBlocks(vector< SusCFG * >)']]], - ['addconstructor_12',['addConstructor',['../classsystemc__clang_1_1ModuleInstance.html#a02f245803d12e2bdca43b88d5460bcbb',1,'systemc_clang::ModuleInstance::addConstructor(FindConstructor *)'],['../classsystemc__clang_1_1ModuleInstance.html#a23722e54e716cd091596e32d1bbd518e',1,'systemc_clang::ModuleInstance::addConstructor(clang::Stmt *)']]], - ['addedge_13',['addEdge',['../classsystemc__clang_1_1Graph.html#a28c50cfbe981e0e1fa6189165676d6a6',1,'systemc_clang::Graph::addEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a0fc0c735182b78dff165c5bcf1ba8ec5',1,'systemc_clang::Graph::addEdge(int, int)'],['../classsystemc__clang_1_1Tree.html#a029ac767bf5d680e988d054e8a994f43',1,'systemc_clang::Tree::addEdge()']]], - ['addentryfunctiongpumacromap_14',['addEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#ac773c1205e29c552d9b1a5b2df435a4c',1,'systemc_clang::Model']]], - ['addevent_15',['addEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#a1649933141afdd5c0432dc57c7f71f46',1,'systemc_clang::SuspensionAutomata']]], - ['addeventname_16',['addEventName',['../classsystemc__clang_1_1State.html#ae43c91cfc56d051351a28ea1987e0d8b',1,'systemc_clang::State']]], - ['addfieldtype_17',['addfieldtype',['../classHDLType.html#a7311aa244ba45252456b87a56000e102',1,'HDLType']]], - ['addfinalstate_18',['addFinalState',['../classsystemc__clang_1_1Transition.html#a225b6436893a66ac660d72e2726d81cb',1,'systemc_clang::Transition']]], - ['addglobalevents_19',['addGlobalEvents',['../classsystemc__clang_1_1Model.html#a616e60f9b7a63145f646c6a96fe11e87',1,'systemc_clang::Model']]], - ['addgpufit_20',['addGPUFit',['../classsystemc__clang_1_1GPUMacro.html#ae2fa35f05616f3ac77f11eccd2acbc14',1,'systemc_clang::GPUMacro::addGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#ab698e8ed976903a367660b92bd15540d',1,'systemc_clang::SusCFG::addGPUFit()']]], - ['addinitialstate_21',['addInitialState',['../classsystemc__clang_1_1Transition.html#a7ca29100e3326ea10b3b06fb617aecde',1,'systemc_clang::Transition']]], - ['addinputinterfaces_22',['addInputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a1153f5da8c7c02fc848f60af76827c36',1,'systemc_clang::ModuleInstance']]], - ['addinputoutputinterfaces_23',['addInputOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a60f0b441ce471f92c2c6eb5fad634670',1,'systemc_clang::ModuleInstance']]], - ['addinstance_24',['addInstance',['../classsystemc__clang_1_1Model.html#aba1517e147f013fe0d98e6f30c86dbeb',1,'systemc_clang::Model']]], - ['addinstanceid_25',['addInstanceId',['../classsystemc__clang_1_1Transition.html#aab63ee606e7a5c6462e98e0cb54b880d',1,'systemc_clang::Transition']]], - ['addinstances_26',['addInstances',['../classsystemc__clang_1_1ModuleInstance.html#a1bd3bec837291b5a27ae37fec86c956c',1,'systemc_clang::ModuleInstance']]], - ['addnestedmodule_27',['addNestedModule',['../classsystemc__clang_1_1ModuleInstance.html#a17a42d855d884812c66b3041c7ef6487',1,'systemc_clang::ModuleInstance']]], - ['addnextstatestoblocks_28',['addNextStatesToBlocks',['../classsystemc__clang_1_1SplitCFG.html#af2497ee75041dc8d3a53d3c7352ea2ab',1,'systemc_clang::SplitCFG']]], - ['addnode_29',['addNode',['../classsystemc__clang_1_1Graph.html#ae60f539c9249bb68663a23920579d99e',1,'systemc_clang::Graph::addNode()'],['../classsystemc__clang_1_1Graph.html#a2dc46182b1e2e167148c44a57e1db489',1,'systemc_clang::Graph::addNode(int)'],['../classsystemc__clang_1_1Tree.html#a85d319130ef0379d333898f0de3ba743',1,'systemc_clang::Tree::addNode()']]], - ['addnotifys_30',['addNotifys',['../classsystemc__clang_1_1EntryFunctionContainer.html#a78ecb16fc0215807a09edc69736feae7',1,'systemc_clang::EntryFunctionContainer']]], - ['addoutputinterfaces_31',['addOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a6afc511b5fe04a0a472a629fc7a7c1f9',1,'systemc_clang::ModuleInstance']]], - ['addparentblock_32',['addParentBlock',['../classsystemc__clang_1_1SusCFG.html#a5efdf503741c4b287448f388515a4e8d',1,'systemc_clang::SusCFG::addParentBlock(CFGBlock *)'],['../classsystemc__clang_1_1SusCFG.html#a3953990c2bfa5f8df6a979098306e3b4',1,'systemc_clang::SusCFG::addParentBlock(SusCFG *)']]], - ['addpathtospecialnode_33',['addPathToSpecialNode',['../classsystemc__clang_1_1SplitCFG.html#aa1b2518fb17a90f43458dee9055fd6ae',1,'systemc_clang::SplitCFG']]], - ['addportbinding_34',['addPortBinding',['../classsystemc__clang_1_1ModuleInstance.html#a46bc1df14b8da69b29d4bb380fca7e1d',1,'systemc_clang::ModuleInstance']]], - ['addports_35',['addPorts',['../classsystemc__clang_1_1ModuleInstance.html#af3573478433d9fa95957b30ee76a0238',1,'systemc_clang::ModuleInstance']]], - ['addpredblocks_36',['addPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a38f88af422e1427ddb0aeb4e6b5a1d04',1,'systemc_clang::SusCFG']]], - ['addpredecessor_37',['addPredecessor',['../classsystemc__clang_1_1Node.html#a066a6c4cfb525c198e533be9b1878117',1,'systemc_clang::Node']]], - ['addpredecessors_38',['addPredecessors',['../classsystemc__clang_1_1SplitCFG.html#af8123b365fda5baa0066186a614f0050',1,'systemc_clang::SplitCFG']]], - ['addprocess_39',['addProcess',['../classsystemc__clang_1_1ModuleInstance.html#a5b054e744ac2e3c832249d5a3a16f224',1,'systemc_clang::ModuleInstance']]], - ['addremainingblocks_40',['addRemainingBlocks',['../classsystemc__clang_1_1SuspensionAutomata.html#a50086b57479af946fbd1d21a7ef18093',1,'systemc_clang::SuspensionAutomata']]], - ['addresetedge_41',['addResetEdge',['../classsystemc__clang_1_1EntryFunctionContainer.html#ada75569811391b31d92f3874c1ed018e',1,'systemc_clang::EntryFunctionContainer']]], - ['addresetsignal_42',['addResetSignal',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae04ef080f63a2e8e8b2042363ab13775',1,'systemc_clang::EntryFunctionContainer']]], - ['addresettype_43',['addResetType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aed65b8e72747fc690c70cd721437adb0',1,'systemc_clang::EntryFunctionContainer']]], - ['addscmain_44',['addSCMain',['../classsystemc__clang_1_1Model.html#a7c60331399e34281366679b7bfb484c6',1,'systemc_clang::Model']]], - ['addsensitivityinfo_45',['addSensitivityInfo',['../classsystemc__clang_1_1EntryFunctionContainer.html#a967beb0f9aeb78f0d8587917a9668344',1,'systemc_clang::EntryFunctionContainer']]], - ['addsignalbinding_46',['addSignalBinding',['../classsystemc__clang_1_1ModuleInstance.html#abe547c4e1d92eefaa78bbcfda50198b4',1,'systemc_clang::ModuleInstance']]], - ['addsimtime_47',['addSimTime',['../classsystemc__clang_1_1State.html#afae55d45430056860b2e6b3ef244f9c2',1,'systemc_clang::State::addSimTime()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a7bc2360723555a37bbb51c2d0724ce81',1,'systemc_clang::SuspensionAutomata::addSimTime()']]], - ['addsimulationtime_48',['addSimulationTime',['../classsystemc__clang_1_1Model.html#a74a2ff371b953881310a41432331b3d9',1,'systemc_clang::Model']]], - ['addsuccblocks_49',['addSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a89e88ace80fd920e9a3b15989b18b47a',1,'systemc_clang::SusCFG']]], - ['addsuccessor_50',['addSuccessor',['../classsystemc__clang_1_1Node.html#ac7a0a758941576297cf66216f8af7db9',1,'systemc_clang::Node']]], - ['addsuccessors_51',['addSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a880c92ef09712082c55800a4c22ebc4b',1,'systemc_clang::SplitCFG']]], - ['addsuccessortovisitorpop_52',['addSuccessorToVisitOrPop',['../classsystemc__clang_1_1SplitCFG.html#a157f7beca82574972e7a72fb864acf97',1,'systemc_clang::SplitCFG']]], - ['addsuscfgauto_53',['addSusCFGAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a928b1766e8858139ee1ff249918f6f6b',1,'systemc_clang::EntryFunctionContainer']]], - ['addthreadmethod_54',['AddThreadMethod',['../classsystemc__hdl_1_1HDLThread.html#a99d6abc870004277c508770b66683089',1,'systemc_hdl::HDLThread']]], - ['addtype_55',['addtype',['../classHDLType.html#a3af4b2476e7143388f415531d5b2384f',1,'HDLType']]], - ['addvnames_56',['AddVnames',['../classsystemc__hdl_1_1HDLBody.html#a6b7efd524dc48ad45e362c6abe3b6c50',1,'systemc_hdl::HDLBody']]], - ['addwaits_57',['addWaits',['../classsystemc__clang_1_1EntryFunctionContainer.html#abe6b67fd8b0c7f5391afe4d323e074e8',1,'systemc_clang::EntryFunctionContainer']]], - ['adj_5flist_5f_58',['adj_list_',['../classsystemc__clang_1_1Tree.html#afeff2579d3bdd852ed6f18fa988c4eb9',1,'systemc_clang::Tree']]], - ['adjedgesmaptype_59',['adjEdgesMapType',['../classsystemc__clang_1_1Graph.html#a6119afe7f2c8b9e9557707c0aa27983c',1,'systemc_clang::Graph']]], - ['adjedgespairtype_60',['adjEdgesPairType',['../classsystemc__clang_1_1Graph.html#a2d1b4a9967fafc8e8cf31572d68ccfac',1,'systemc_clang::Graph']]], - ['adjmaptype_61',['adjMapType',['../classsystemc__clang_1_1Graph.html#a4f17ef653bb8b7e86d92639f6013890f',1,'systemc_clang::Graph']]], - ['adjpairtype_62',['adjPairType',['../classsystemc__clang_1_1Graph.html#a6c0212ddb2c4e032bc508d37d1a8ae90',1,'systemc_clang::Graph']]], - ['aggregate_63',['aggregate',['../classparselib_1_1compound_1_1aggregate.html',1,'parselib::compound']]], - ['alias_5ftranslation_2epy_64',['alias_translation.py',['../alias__translation_8py.html',1,'']]], - ['aliases_65',['aliases',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a73fefdd2f0bcf44090d7a0c3ecc57fa6',1,'parselib.transforms.node.TypeDefType.aliases'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#afb6b9c1d9d6da28ab9ccb911b711fca8',1,'parselib.transforms.type_node.TypeNode.aliases']]], - ['aliastranslation_66',['AliasTranslation',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html',1,'parselib::transforms::alias_translation']]], - ['all_5fpath_5finfo_5f_67',['all_path_info_',['../classsystemc__clang_1_1SplitCFG.html#aa5a23e5341e8eb68a902ff4df0f9fd60',1,'systemc_clang::SplitCFG']]], - ['allmethodecls_68',['allmethodecls',['../classsystemc__hdl_1_1HDLMain.html#af9e6dbb7933a99d7e4e6073f1f871ef2',1,'systemc_hdl::HDLMain']]], - ['allmethodecls_5f_69',['allmethodecls_',['../classsystemc__hdl_1_1HDLBody.html#a83ac0d98d500b58d2f5bd6378f1f7cb7',1,'systemc_hdl::HDLBody::allmethodecls_'],['../classsystemc__hdl_1_1HDLThread.html#a73e0807a76bca74b57f6cc6c2d2e7749',1,'systemc_hdl::HDLThread::allmethodecls_']]], - ['alternate_5fids_70',['alternate_ids',['../namespaceparselib_1_1utils.html#a6d19ef925f18c8693d954ccec084826c',1,'parselib::utils']]], - ['always_71',['Always',['../classparselib_1_1transforms_1_1node_1_1Always.html',1,'parselib::transforms::node']]], - ['analyze_5farray_5fbase_72',['analyze_array_base',['../classsystemc__clang_1_1FindGPUMacro.html#aaae5bfdcf88c055011d0a594d4aed2e8',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5fdata_5fstruct_73',['analyze_data_struct',['../classsystemc__clang_1_1FindGPUMacro.html#a13d5c9fb1fc5ce6b5e01c5aad15dbe68',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5fexpr_74',['analyze_expr',['../classsystemc__clang_1_1FindGPUMacro.html#a2d7490f57f7352b4215b4e4c5c10b83f',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5flhs_75',['analyze_lhs',['../classsystemc__clang_1_1FindGPUMacro.html#a7751077a1b308787ac2e86329111e3ff',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5frhs_76',['analyze_rhs',['../classsystemc__clang_1_1FindGPUMacro.html#a54308a9bfed0ec7d5c56f21d2358574d',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5fvalue_5fdecl_77',['analyze_value_decl',['../classsystemc__clang_1_1FindGPUMacro.html#a4b22fcf68700ffc2f5248b9ab6320eb8',1,'systemc_clang::FindGPUMacro']]], - ['annotatetransitionsdpseg_78',['annotateTransitionsDPSeg',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a2e2a5da7293995d455107f520b7a91cf',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['apintutils_2eh_79',['APIntUtils.h',['../APIntUtils_8h.html',1,'']]], - ['append_80',['append',['../classhnode_1_1hNode.html#a757a72e795d72d81da6dbbe64ba28d56',1,'hnode::hNode']]], - ['argument_5fname_5f_81',['argument_name_',['../classsystemc__clang_1_1FindArgument.html#ace5ea177078f9ce9f86b79057188c69e',1,'systemc_clang::FindArgument']]], - ['argvectortype_82',['argVectorType',['../classsystemc__clang_1_1FindTemplateTypes.html#a7a71e9cab2cda2feca1100605e869191',1,'systemc_clang::FindTemplateTypes']]], - ['arrangegpususcfgblocks_83',['arrangeGPUSusCFGBlocks',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#acad967eb6262a3354775642a5189b92c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['array_84',['array',['../classparselib_1_1primitives_1_1array.html',1,'parselib::primitives']]], - ['array_5ffd_5f_85',['array_fd_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a75aaa41d4faeaeba47765a85e51ca2a9',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['array_5fparameters_5f_86',['array_parameters_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a236514c93fde675146a536181d6972c2',1,'sc_ast_matchers::ModuleInstanceType']]], - ['array_5fsizes_5f_87',['array_sizes_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#add7481687d407362b2aa2771ab44c81f',1,'sc_ast_matchers::ModuleInstanceType::array_sizes_'],['../classsystemc__clang_1_1PortDecl.html#a1ed8b0f52527f43862e8576422f1bb84',1,'systemc_clang::PortDecl::array_sizes_']]], - ['arrayderef_88',['ArrayDeref',['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html',1,'parselib::transforms::node']]], - ['arraydimlength_89',['arraydimlength',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#acacd52bd42a9f4d2eb6ec0e47de459b1',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['arrayparamtype_90',['ArrayParamType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#aac2023ad45d93dba8f49b60a99187900',1,'sc_ast_matchers::ModuleInstanceType']]], - ['arraysizesexprtype_91',['ArraySizesExprType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#acff532eeeea53088536f606a537ccd24',1,'sc_ast_matchers::utils::array_type']]], - ['arraysizestype_92',['ArraySizesType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#ae8bd783b0a85b63dd15d51d2105819e7',1,'sc_ast_matchers::utils::array_type']]], - ['arraysubscriptsexprtype_93',['ArraySubscriptsExprType',['../classsystemc__clang_1_1PortBinding.html#a0effb4c0e27bbcfbd56f59bca0ca46e6',1,'systemc_clang::PortBinding']]], - ['arraytypeutils_2ecpp_94',['ArrayTypeUtils.cpp',['../ArrayTypeUtils_8cpp.html',1,'']]], - ['arraytypeutils_2eh_95',['ArrayTypeUtils.h',['../ArrayTypeUtils_8h.html',1,'']]], - ['asstring_96',['asString',['../classsystemc__clang_1_1FindGlobalEvents.html#ab72029e530f6899e910af3263ee5edba',1,'systemc_clang::FindGlobalEvents::asString()'],['../classsystemc__clang_1_1FindTemplateTypes.html#ac80a53fe4f36c12c9dca309500f902ac',1,'systemc_clang::FindTemplateTypes::asString()'],['../classsystemc__clang_1_1PortDecl.html#a492f16d90d0553082e482a942042a692',1,'systemc_clang::PortDecl::asString()'],['../classsystemc__clang_1_1ProcessDecl.html#a8b7d38cfa105b762c09b4d6df8dca3ed',1,'systemc_clang::ProcessDecl::asString()'],['../classsystemc__clang_1_1SignalDecl.html#aea5cc56c9a1c56c10ac5222b4557862e',1,'systemc_clang::SignalDecl::asString()']]], - ['ast_5fcontext_5f_97',['ast_context_',['../classsystemc__hdl_1_1HDLBody.html#a09991f11eb7e41766147a1ac9ee1f4ba',1,'systemc_hdl::HDLBody::ast_context_'],['../classsystemc__hdl_1_1HDLThread.html#a626c4002ae94c580bb8cd6d0168c52b7',1,'systemc_hdl::HDLThread::ast_context_']]], - ['ast_5fmatcher_98',['AST_MATCHER',['../namespacesc__ast__matchers.html#aecbd44732ff832f51ed8708076c5b769',1,'sc_ast_matchers']]], - ['ast_5fnode_5f_99',['ast_node_',['../classsystemc__clang_1_1EventContainer.html#a5ab22eb0938980328d209a4d389782e3',1,'systemc_clang::EventContainer::ast_node_'],['../classsystemc__clang_1_1EventDecl.html#a1eee9f1db428b3e794e679e4975f4069',1,'systemc_clang::EventDecl::ast_node_']]], - ['aststate_100',['ASTSTATE',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0',1,'systemc_clang']]], - ['augment_5fname_5fstub_101',['augment_name_stub',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ad68a2a0635722864411fc83db9845885',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['automata_2ecpp_102',['Automata.cpp',['../Automata_8cpp.html',1,'']]], - ['automata_2eh_103',['Automata.h',['../Automata_8h.html',1,'']]] + ['add_5fvalues_7',['add_values',['../classparselib_1_1utils_1_1ContextManager.html#a6440d7b844b113fc53de90f65b2e2358',1,'parselib::utils::ContextManager']]], + ['addarraysize_8',['addArraySize',['../classsystemc__clang_1_1PortDecl.html#a5b5e271b1bd0ae65bf341f208a2f057c',1,'systemc_clang::PortDecl']]], + ['addarraysizes_9',['addArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#af3c77277d6002bbc654332fcff9ac1de',1,'sc_ast_matchers::ModuleInstanceType']]], + ['addbaseinstance_10',['addBaseInstance',['../classsystemc__clang_1_1ModuleInstance.html#a2e926b417ec0d2b6867e6bb36ffdf40a',1,'systemc_clang::ModuleInstance']]], + ['addchildblocklist_11',['addChildBlockList',['../classsystemc__clang_1_1SusCFG.html#af72da676ff0fbef5507b3dfee9ee37ae',1,'systemc_clang::SusCFG::addChildBlockList(SusCFG *)'],['../classsystemc__clang_1_1SusCFG.html#ab54ac708721fc38d63829097d5fd5f57',1,'systemc_clang::SusCFG::addChildBlockList(vector< SusCFG * >)']]], + ['addcodeblocks_12',['addCodeBlocks',['../classsystemc__clang_1_1Transition.html#aaa602753672b3b5a9a78f86ff0118884',1,'systemc_clang::Transition::addCodeBlocks(SusCFG *)'],['../classsystemc__clang_1_1Transition.html#ad5cd483e40adc1e02fe5ce2b1b988a37',1,'systemc_clang::Transition::addCodeBlocks(vector< SusCFG * >)']]], + ['addconstructor_13',['addConstructor',['../classsystemc__clang_1_1ModuleInstance.html#a02f245803d12e2bdca43b88d5460bcbb',1,'systemc_clang::ModuleInstance::addConstructor(FindConstructor *)'],['../classsystemc__clang_1_1ModuleInstance.html#a23722e54e716cd091596e32d1bbd518e',1,'systemc_clang::ModuleInstance::addConstructor(clang::Stmt *)']]], + ['addedge_14',['addEdge',['../classsystemc__clang_1_1Graph.html#a28c50cfbe981e0e1fa6189165676d6a6',1,'systemc_clang::Graph::addEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a0fc0c735182b78dff165c5bcf1ba8ec5',1,'systemc_clang::Graph::addEdge(int, int)'],['../classsystemc__clang_1_1Tree.html#a029ac767bf5d680e988d054e8a994f43',1,'systemc_clang::Tree::addEdge()']]], + ['addentryfunctiongpumacromap_15',['addEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#ac773c1205e29c552d9b1a5b2df435a4c',1,'systemc_clang::Model']]], + ['addevent_16',['addEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#a1649933141afdd5c0432dc57c7f71f46',1,'systemc_clang::SuspensionAutomata']]], + ['addeventname_17',['addEventName',['../classsystemc__clang_1_1State.html#ae43c91cfc56d051351a28ea1987e0d8b',1,'systemc_clang::State']]], + ['addfieldtype_18',['addfieldtype',['../classHDLType.html#a7311aa244ba45252456b87a56000e102',1,'HDLType']]], + ['addfinalstate_19',['addFinalState',['../classsystemc__clang_1_1Transition.html#a225b6436893a66ac660d72e2726d81cb',1,'systemc_clang::Transition']]], + ['addglobalevents_20',['addGlobalEvents',['../classsystemc__clang_1_1Model.html#a616e60f9b7a63145f646c6a96fe11e87',1,'systemc_clang::Model']]], + ['addgpufit_21',['addGPUFit',['../classsystemc__clang_1_1GPUMacro.html#ae2fa35f05616f3ac77f11eccd2acbc14',1,'systemc_clang::GPUMacro::addGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#ab698e8ed976903a367660b92bd15540d',1,'systemc_clang::SusCFG::addGPUFit()']]], + ['addinitialstate_22',['addInitialState',['../classsystemc__clang_1_1Transition.html#a7ca29100e3326ea10b3b06fb617aecde',1,'systemc_clang::Transition']]], + ['addinputinterfaces_23',['addInputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a1153f5da8c7c02fc848f60af76827c36',1,'systemc_clang::ModuleInstance']]], + ['addinputoutputinterfaces_24',['addInputOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a60f0b441ce471f92c2c6eb5fad634670',1,'systemc_clang::ModuleInstance']]], + ['addinstance_25',['addInstance',['../classsystemc__clang_1_1Model.html#aba1517e147f013fe0d98e6f30c86dbeb',1,'systemc_clang::Model']]], + ['addinstanceid_26',['addInstanceId',['../classsystemc__clang_1_1Transition.html#aab63ee606e7a5c6462e98e0cb54b880d',1,'systemc_clang::Transition']]], + ['addinstances_27',['addInstances',['../classsystemc__clang_1_1ModuleInstance.html#a1bd3bec837291b5a27ae37fec86c956c',1,'systemc_clang::ModuleInstance']]], + ['addnestedmodule_28',['addNestedModule',['../classsystemc__clang_1_1ModuleInstance.html#a17a42d855d884812c66b3041c7ef6487',1,'systemc_clang::ModuleInstance']]], + ['addnextstatestoblocks_29',['addNextStatesToBlocks',['../classsystemc__clang_1_1SplitCFG.html#af2497ee75041dc8d3a53d3c7352ea2ab',1,'systemc_clang::SplitCFG']]], + ['addnode_30',['addNode',['../classsystemc__clang_1_1Graph.html#ae60f539c9249bb68663a23920579d99e',1,'systemc_clang::Graph::addNode()'],['../classsystemc__clang_1_1Graph.html#a2dc46182b1e2e167148c44a57e1db489',1,'systemc_clang::Graph::addNode(int)'],['../classsystemc__clang_1_1Tree.html#a85d319130ef0379d333898f0de3ba743',1,'systemc_clang::Tree::addNode()']]], + ['addnotifys_31',['addNotifys',['../classsystemc__clang_1_1EntryFunctionContainer.html#a78ecb16fc0215807a09edc69736feae7',1,'systemc_clang::EntryFunctionContainer']]], + ['addoutputinterfaces_32',['addOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a6afc511b5fe04a0a472a629fc7a7c1f9',1,'systemc_clang::ModuleInstance']]], + ['addparentblock_33',['addParentBlock',['../classsystemc__clang_1_1SusCFG.html#a5efdf503741c4b287448f388515a4e8d',1,'systemc_clang::SusCFG::addParentBlock(CFGBlock *)'],['../classsystemc__clang_1_1SusCFG.html#a3953990c2bfa5f8df6a979098306e3b4',1,'systemc_clang::SusCFG::addParentBlock(SusCFG *)']]], + ['addpathtospecialnode_34',['addPathToSpecialNode',['../classsystemc__clang_1_1SplitCFG.html#aa1b2518fb17a90f43458dee9055fd6ae',1,'systemc_clang::SplitCFG']]], + ['addportbinding_35',['addPortBinding',['../classsystemc__clang_1_1ModuleInstance.html#a46bc1df14b8da69b29d4bb380fca7e1d',1,'systemc_clang::ModuleInstance']]], + ['addports_36',['addPorts',['../classsystemc__clang_1_1ModuleInstance.html#af3573478433d9fa95957b30ee76a0238',1,'systemc_clang::ModuleInstance']]], + ['addpredblocks_37',['addPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a38f88af422e1427ddb0aeb4e6b5a1d04',1,'systemc_clang::SusCFG']]], + ['addpredecessor_38',['addPredecessor',['../classsystemc__clang_1_1Node.html#a066a6c4cfb525c198e533be9b1878117',1,'systemc_clang::Node']]], + ['addpredecessors_39',['addPredecessors',['../classsystemc__clang_1_1SplitCFG.html#af8123b365fda5baa0066186a614f0050',1,'systemc_clang::SplitCFG']]], + ['addprocess_40',['addProcess',['../classsystemc__clang_1_1ModuleInstance.html#a5b054e744ac2e3c832249d5a3a16f224',1,'systemc_clang::ModuleInstance']]], + ['addremainingblocks_41',['addRemainingBlocks',['../classsystemc__clang_1_1SuspensionAutomata.html#a50086b57479af946fbd1d21a7ef18093',1,'systemc_clang::SuspensionAutomata']]], + ['addresetedge_42',['addResetEdge',['../classsystemc__clang_1_1EntryFunctionContainer.html#ada75569811391b31d92f3874c1ed018e',1,'systemc_clang::EntryFunctionContainer']]], + ['addresetsignal_43',['addResetSignal',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae04ef080f63a2e8e8b2042363ab13775',1,'systemc_clang::EntryFunctionContainer']]], + ['addresettype_44',['addResetType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aed65b8e72747fc690c70cd721437adb0',1,'systemc_clang::EntryFunctionContainer']]], + ['addscmain_45',['addSCMain',['../classsystemc__clang_1_1Model.html#a7c60331399e34281366679b7bfb484c6',1,'systemc_clang::Model']]], + ['addsensitivityinfo_46',['addSensitivityInfo',['../classsystemc__clang_1_1EntryFunctionContainer.html#a967beb0f9aeb78f0d8587917a9668344',1,'systemc_clang::EntryFunctionContainer']]], + ['addsignalbinding_47',['addSignalBinding',['../classsystemc__clang_1_1ModuleInstance.html#abe547c4e1d92eefaa78bbcfda50198b4',1,'systemc_clang::ModuleInstance']]], + ['addsimtime_48',['addSimTime',['../classsystemc__clang_1_1State.html#afae55d45430056860b2e6b3ef244f9c2',1,'systemc_clang::State::addSimTime()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a7bc2360723555a37bbb51c2d0724ce81',1,'systemc_clang::SuspensionAutomata::addSimTime()']]], + ['addsimulationtime_49',['addSimulationTime',['../classsystemc__clang_1_1Model.html#a74a2ff371b953881310a41432331b3d9',1,'systemc_clang::Model']]], + ['addsuccblocks_50',['addSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a89e88ace80fd920e9a3b15989b18b47a',1,'systemc_clang::SusCFG']]], + ['addsuccessor_51',['addSuccessor',['../classsystemc__clang_1_1Node.html#ac7a0a758941576297cf66216f8af7db9',1,'systemc_clang::Node']]], + ['addsuccessors_52',['addSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a880c92ef09712082c55800a4c22ebc4b',1,'systemc_clang::SplitCFG']]], + ['addsuccessortovisitorpop_53',['addSuccessorToVisitOrPop',['../classsystemc__clang_1_1SplitCFG.html#a157f7beca82574972e7a72fb864acf97',1,'systemc_clang::SplitCFG']]], + ['addsuscfgauto_54',['addSusCFGAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a928b1766e8858139ee1ff249918f6f6b',1,'systemc_clang::EntryFunctionContainer']]], + ['addthreadmethod_55',['AddThreadMethod',['../classsystemc__hdl_1_1HDLThread.html#a99d6abc870004277c508770b66683089',1,'systemc_hdl::HDLThread']]], + ['addtype_56',['addtype',['../classHDLType.html#a3af4b2476e7143388f415531d5b2384f',1,'HDLType']]], + ['addvnames_57',['AddVnames',['../classsystemc__hdl_1_1HDLBody.html#a6b7efd524dc48ad45e362c6abe3b6c50',1,'systemc_hdl::HDLBody']]], + ['addwaits_58',['addWaits',['../classsystemc__clang_1_1EntryFunctionContainer.html#abe6b67fd8b0c7f5391afe4d323e074e8',1,'systemc_clang::EntryFunctionContainer']]], + ['adj_5flist_5f_59',['adj_list_',['../classsystemc__clang_1_1Tree.html#afeff2579d3bdd852ed6f18fa988c4eb9',1,'systemc_clang::Tree']]], + ['adjedgesmaptype_60',['adjEdgesMapType',['../classsystemc__clang_1_1Graph.html#aff70fb7e5f2b40836af57bd9199471fe',1,'systemc_clang::Graph']]], + ['adjedgespairtype_61',['adjEdgesPairType',['../classsystemc__clang_1_1Graph.html#a7e2ea40ae192a040d99a254c27a2410e',1,'systemc_clang::Graph']]], + ['adjmaptype_62',['adjMapType',['../classsystemc__clang_1_1Graph.html#a257a264e92fac00b6cd33c85b91ec49e',1,'systemc_clang::Graph']]], + ['adjpairtype_63',['adjPairType',['../classsystemc__clang_1_1Graph.html#aff14abbeb0f7b051fb349167f19ba5fa',1,'systemc_clang::Graph']]], + ['aggregate_64',['aggregate',['../classparselib_1_1compound_1_1aggregate.html',1,'parselib::compound']]], + ['alias_5ftranslation_2epy_65',['alias_translation.py',['../alias__translation_8py.html',1,'']]], + ['aliases_66',['aliases',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a73fefdd2f0bcf44090d7a0c3ecc57fa6',1,'parselib.transforms.node.TypeDefType.aliases'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#afb6b9c1d9d6da28ab9ccb911b711fca8',1,'parselib.transforms.type_node.TypeNode.aliases']]], + ['aliastranslation_67',['AliasTranslation',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html',1,'parselib::transforms::alias_translation']]], + ['all_5fpath_5finfo_5f_68',['all_path_info_',['../classsystemc__clang_1_1SplitCFG.html#aa5a23e5341e8eb68a902ff4df0f9fd60',1,'systemc_clang::SplitCFG']]], + ['allmethodecls_69',['allmethodecls',['../classsystemc__hdl_1_1HDLMain.html#af9e6dbb7933a99d7e4e6073f1f871ef2',1,'systemc_hdl::HDLMain']]], + ['allmethodecls_5f_70',['allmethodecls_',['../classsystemc__hdl_1_1HDLBody.html#a83ac0d98d500b58d2f5bd6378f1f7cb7',1,'systemc_hdl::HDLBody::allmethodecls_'],['../classsystemc__hdl_1_1HDLThread.html#a73e0807a76bca74b57f6cc6c2d2e7749',1,'systemc_hdl::HDLThread::allmethodecls_']]], + ['alternate_5fids_71',['alternate_ids',['../namespaceparselib_1_1utils.html#a6d19ef925f18c8693d954ccec084826c',1,'parselib::utils']]], + ['always_72',['Always',['../classparselib_1_1transforms_1_1node_1_1Always.html',1,'parselib::transforms::node']]], + ['analyze_5farray_5fbase_73',['analyze_array_base',['../classsystemc__clang_1_1FindGPUMacro.html#aaae5bfdcf88c055011d0a594d4aed2e8',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5fdata_5fstruct_74',['analyze_data_struct',['../classsystemc__clang_1_1FindGPUMacro.html#a13d5c9fb1fc5ce6b5e01c5aad15dbe68',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5fexpr_75',['analyze_expr',['../classsystemc__clang_1_1FindGPUMacro.html#a2d7490f57f7352b4215b4e4c5c10b83f',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5flhs_76',['analyze_lhs',['../classsystemc__clang_1_1FindGPUMacro.html#a7751077a1b308787ac2e86329111e3ff',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5frhs_77',['analyze_rhs',['../classsystemc__clang_1_1FindGPUMacro.html#a54308a9bfed0ec7d5c56f21d2358574d',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5fvalue_5fdecl_78',['analyze_value_decl',['../classsystemc__clang_1_1FindGPUMacro.html#a4b22fcf68700ffc2f5248b9ab6320eb8',1,'systemc_clang::FindGPUMacro']]], + ['annotatetransitionsdpseg_79',['annotateTransitionsDPSeg',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a2e2a5da7293995d455107f520b7a91cf',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['apintutils_2eh_80',['APIntUtils.h',['../APIntUtils_8h.html',1,'']]], + ['append_81',['append',['../classhnode_1_1hNode.html#a757a72e795d72d81da6dbbe64ba28d56',1,'hnode::hNode']]], + ['argument_5fname_5f_82',['argument_name_',['../classsystemc__clang_1_1FindArgument.html#ace5ea177078f9ce9f86b79057188c69e',1,'systemc_clang::FindArgument']]], + ['argvectortype_83',['argVectorType',['../classsystemc__clang_1_1FindTemplateTypes.html#a52691b38c03b3b7d5bd5af403852a6e5',1,'systemc_clang::FindTemplateTypes']]], + ['arrangegpususcfgblocks_84',['arrangeGPUSusCFGBlocks',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#acad967eb6262a3354775642a5189b92c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['array_85',['array',['../classparselib_1_1primitives_1_1array.html',1,'parselib::primitives']]], + ['array_5ffd_5f_86',['array_fd_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a75aaa41d4faeaeba47765a85e51ca2a9',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['array_5fparameters_5f_87',['array_parameters_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a236514c93fde675146a536181d6972c2',1,'sc_ast_matchers::ModuleInstanceType']]], + ['array_5fsizes_5f_88',['array_sizes_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#add7481687d407362b2aa2771ab44c81f',1,'sc_ast_matchers::ModuleInstanceType::array_sizes_'],['../classsystemc__clang_1_1PortDecl.html#a1ed8b0f52527f43862e8576422f1bb84',1,'systemc_clang::PortDecl::array_sizes_']]], + ['arrayderef_89',['ArrayDeref',['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html',1,'parselib::transforms::node']]], + ['arraydimlength_90',['arraydimlength',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#acacd52bd42a9f4d2eb6ec0e47de459b1',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['arrayparamtype_91',['ArrayParamType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a76b5636bc3ba549bcb8c451cdb166da5',1,'sc_ast_matchers::ModuleInstanceType']]], + ['arrayportmovement_92',['ArrayPortMovement',['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html',1,'parselib::transforms::node_movement']]], + ['arraysizesexprtype_93',['ArraySizesExprType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#acff532eeeea53088536f606a537ccd24',1,'sc_ast_matchers::utils::array_type']]], + ['arraysizestype_94',['ArraySizesType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#ae8bd783b0a85b63dd15d51d2105819e7',1,'sc_ast_matchers::utils::array_type']]], + ['arraysubscriptsexprtype_95',['ArraySubscriptsExprType',['../classsystemc__clang_1_1PortBinding.html#a5b3d49842a20bb26c6bdbafcc2bbaa25',1,'systemc_clang::PortBinding']]], + ['arraytypeutils_2ecpp_96',['ArrayTypeUtils.cpp',['../ArrayTypeUtils_8cpp.html',1,'']]], + ['arraytypeutils_2eh_97',['ArrayTypeUtils.h',['../ArrayTypeUtils_8h.html',1,'']]], + ['asstring_98',['asString',['../classsystemc__clang_1_1FindGlobalEvents.html#ab72029e530f6899e910af3263ee5edba',1,'systemc_clang::FindGlobalEvents::asString()'],['../classsystemc__clang_1_1FindTemplateTypes.html#ac80a53fe4f36c12c9dca309500f902ac',1,'systemc_clang::FindTemplateTypes::asString()'],['../classsystemc__clang_1_1PortDecl.html#a492f16d90d0553082e482a942042a692',1,'systemc_clang::PortDecl::asString()'],['../classsystemc__clang_1_1ProcessDecl.html#a8b7d38cfa105b762c09b4d6df8dca3ed',1,'systemc_clang::ProcessDecl::asString()'],['../classsystemc__clang_1_1SignalDecl.html#aea5cc56c9a1c56c10ac5222b4557862e',1,'systemc_clang::SignalDecl::asString()']]], + ['ast_5fcontext_5f_99',['ast_context_',['../classsystemc__hdl_1_1HDLBody.html#a09991f11eb7e41766147a1ac9ee1f4ba',1,'systemc_hdl::HDLBody::ast_context_'],['../classsystemc__hdl_1_1HDLThread.html#a626c4002ae94c580bb8cd6d0168c52b7',1,'systemc_hdl::HDLThread::ast_context_']]], + ['ast_5fmatcher_100',['AST_MATCHER',['../namespacesc__ast__matchers.html#aecbd44732ff832f51ed8708076c5b769',1,'sc_ast_matchers']]], + ['ast_5fnode_5f_101',['ast_node_',['../classsystemc__clang_1_1EventContainer.html#a5ab22eb0938980328d209a4d389782e3',1,'systemc_clang::EventContainer::ast_node_'],['../classsystemc__clang_1_1EventDecl.html#a1eee9f1db428b3e794e679e4975f4069',1,'systemc_clang::EventDecl::ast_node_']]], + ['aststate_102',['ASTSTATE',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0',1,'systemc_clang']]], + ['augment_5fname_5fstub_103',['augment_name_stub',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ad68a2a0635722864411fc83db9845885',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['automata_2ecpp_104',['Automata.cpp',['../Automata_8cpp.html',1,'']]], + ['automata_2eh_105',['Automata.h',['../Automata_8h.html',1,'']]] ]; diff --git a/search/all_10.js b/search/all_10.js index c8904179..e9eec446 100644 --- a/search/all_10.js +++ b/search/all_10.js @@ -41,8 +41,8 @@ var searchData= ['root_5f_38',['root_',['../classsystemc__clang_1_1Tree.html#a93a765d7842234d05a1db8cfd8ab4cac',1,'systemc_clang::Tree']]], ['root_5fmodule_5finst_5f_39',['root_module_inst_',['../classsystemc__clang_1_1Model.html#a2350c5ffc4550cb37502891c31e4c9d1',1,'systemc_clang::Model']]], ['rthread_40',['rthread',['../namespacesystemc__hdl.html#aeef6b9516f3e8320515d66110f5f9d5fa743914761c4513bf88f12155da0f9ca4',1,'systemc_hdl']]], - ['run_41',['run',['../classsystemc__clang_1_1BreakMatcher.html#aa614d7f8a2b76314b97c7721f7119164',1,'systemc_clang::BreakMatcher::run()'],['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#aa794cfa834d37400d07a380107303b5c',1,'sc_ast_matchers::FindMemberFieldMatcher::run()'],['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#ac71b040788b00885636ff00dc942363b',1,'sc_ast_matchers::InstanceArgumentMatcher::run()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a9a170c8fb20d0d812ec515cf9c09600f',1,'sc_ast_matchers::InstanceMatcher::run()'],['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#aae4820979b827babc2122b17c53a5595',1,'sc_ast_matchers::ModuleDeclarationMatcher::run()'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ade1e4bb1475dfeda376496085b315b82',1,'sc_ast_matchers::NetlistMatcher::run()'],['../classsc__ast__matchers_1_1PortMatcher.html#ad9f8dff551d34080d67d973c119edab8',1,'sc_ast_matchers::PortMatcher::run()'],['../classsc__ast__matchers_1_1ResetMatcher.html#ad952df7cc22f76aa79bbdcf52453c902',1,'sc_ast_matchers::ResetMatcher::run()'],['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#aae2116f803d9533ea7d40ea93d5ff91d',1,'sc_ast_matchers::CallerCalleeMatcher::run()'],['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a0eb9b60064a4df2eff0d7fb95ce4973b',1,'sc_ast_matchers::SensitiveOperatorCallMatcher::run()'],['../classsc__ast__matchers_1_1SensitivityMatcher.html#a06b1f9e16feadaf50e77dcfddd31cf3b',1,'sc_ast_matchers::SensitivityMatcher::run()'],['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#af9c363af0ae425bd643e408ccc347637',1,'sc_ast_matchers::utils::NamespaceMatcher::run()'],['../classTemplateParametersMatcher.html#a3006709170adfd3c69c35ebba7386aff',1,'TemplateParametersMatcher::run()']]], - ['run_42',['Run',['../classsystemc__hdl_1_1HDLBody.html#a9aebf447ff8177aa7b30d260bc8314e3',1,'systemc_hdl::HDLBody']]], + ['run_41',['Run',['../classsystemc__hdl_1_1HDLBody.html#a9aebf447ff8177aa7b30d260bc8314e3',1,'systemc_hdl::HDLBody']]], + ['run_42',['run',['../classsystemc__clang_1_1BreakMatcher.html#aa614d7f8a2b76314b97c7721f7119164',1,'systemc_clang::BreakMatcher::run()'],['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#aa794cfa834d37400d07a380107303b5c',1,'sc_ast_matchers::FindMemberFieldMatcher::run()'],['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#ac71b040788b00885636ff00dc942363b',1,'sc_ast_matchers::InstanceArgumentMatcher::run()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a9a170c8fb20d0d812ec515cf9c09600f',1,'sc_ast_matchers::InstanceMatcher::run()'],['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#aae4820979b827babc2122b17c53a5595',1,'sc_ast_matchers::ModuleDeclarationMatcher::run()'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ade1e4bb1475dfeda376496085b315b82',1,'sc_ast_matchers::NetlistMatcher::run()'],['../classsc__ast__matchers_1_1PortMatcher.html#ad9f8dff551d34080d67d973c119edab8',1,'sc_ast_matchers::PortMatcher::run()'],['../classsc__ast__matchers_1_1ResetMatcher.html#ad952df7cc22f76aa79bbdcf52453c902',1,'sc_ast_matchers::ResetMatcher::run()'],['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#aae2116f803d9533ea7d40ea93d5ff91d',1,'sc_ast_matchers::CallerCalleeMatcher::run()'],['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a0eb9b60064a4df2eff0d7fb95ce4973b',1,'sc_ast_matchers::SensitiveOperatorCallMatcher::run()'],['../classsc__ast__matchers_1_1SensitivityMatcher.html#a06b1f9e16feadaf50e77dcfddd31cf3b',1,'sc_ast_matchers::SensitivityMatcher::run()'],['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#af9c363af0ae425bd643e408ccc347637',1,'sc_ast_matchers::utils::NamespaceMatcher::run()'],['../classTemplateParametersMatcher.html#a3006709170adfd3c69c35ebba7386aff',1,'TemplateParametersMatcher::run()']]], ['run_5fbft_5f_43',['run_bft_',['../classsystemc__clang_1_1Tree.html#ab1e1b478f1a96b5ef72fdbb987afa7ae',1,'systemc_clang::Tree']]], ['run_5fdft_5f_44',['run_dft_',['../classsystemc__clang_1_1Tree.html#a63fccb5ace4fa3bc8e0d94c1d3285be6',1,'systemc_clang::Tree']]], ['runmoduledeclarationmatchers_45',['runModuleDeclarationMatchers',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#ada4785da1fa4db40043570493a93f792',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], diff --git a/search/all_11.js b/search/all_11.js index eaaa5b43..dad80c7e 100644 --- a/search/all_11.js +++ b/search/all_11.js @@ -32,158 +32,163 @@ var searchData= ['scsig2hcode_29',['SCsig2hcode',['../classsystemc__hdl_1_1HDLMain.html#a798b9e0074e26d9f90e45da49a30cbef',1,'systemc_hdl::HDLMain']]], ['sctype2hcode_30',['SCtype2hcode',['../classHDLType.html#a6438b9a449e3fedf55ab579878a33480',1,'HDLType']]], ['search_5fid_5fdef_31',['search_id_def',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a7057f208ceae00f1a18c3b657491e67d',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['senselist_32',['senselist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a631a23fbc6998274af5f3ab977a1ff05',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['sensemap_5f_33',['senseMap_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5bff0871f39f8278dc7d3d1aabff1fa7',1,'systemc_clang::EntryFunctionContainer']]], - ['sensemaptype_34',['SenseMapType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a96b39bcbdce6458e57f9abf038d48a20',1,'sc_ast_matchers::SensitivityMatcher::SenseMapType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a4d69296f0e62e5aea47b39f1d8f96df8',1,'systemc_clang::EntryFunctionContainer::SenseMapType']]], - ['sensitiveoperatorcallmatcher_35',['SensitiveOperatorCallMatcher',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html',1,'sc_ast_matchers']]], - ['sensitivity_5f_36',['sensitivity_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afcdb6aa9e91e267172669333602d17aa',1,'sc_ast_matchers::SensitivityMatcher']]], - ['sensitivitymatcher_37',['SensitivityMatcher',['../classsc__ast__matchers_1_1SensitivityMatcher.html',1,'sc_ast_matchers']]], - ['sensitivitymatcher_2eh_38',['SensitivityMatcher.h',['../SensitivityMatcher_8h.html',1,'']]], - ['sensitivitypairtype_39',['SensitivityPairType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a4a1b0e3b68182be4384fe67f247b9555',1,'sc_ast_matchers::SensitivityMatcher::SensitivityPairType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#ae93e3b03da5f801347140c88ce04ab1a',1,'systemc_clang::EntryFunctionContainer::SensitivityPairType']]], - ['sensitivitytupletype_40',['SensitivityTupleType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#aa8ebc0b138a8399c58803500501b93ef',1,'sc_ast_matchers::SensitivityMatcher::SensitivityTupleType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a30aa93b62c9597764e5bb37c7c5ce50d',1,'systemc_clang::EntryFunctionContainer::SensitivityTupleType']]], - ['sep_41',['sep',['../classparselib_1_1primitives_1_1TypeContext.html#a1feb47a16996ff69784488b87ed014ab',1,'parselib::primitives::TypeContext']]], - ['set_42',['set',['../classhnode_1_1hNode.html#a59ce73e9dec57311dc1fc18b7ab66aac',1,'hnode::hNode::set(hdlopsEnum h, string s="")'],['../classhnode_1_1hNode.html#aee97c18f0579336450d0213f1e35c87c',1,'hnode::hNode::set(string s="")']]], - ['set_5fcurrent_5fproc_5fname_43',['set_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab8b0a54e2d4f04ddb92982dc5b0676ed',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['set_5fids_5fin_5ftree_5fdfs_44',['set_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#ac74638a66ced21a8ec31fc4591b3467c',1,'parselib::utils']]], - ['set_5fprefix_45',['set_prefix',['../classhnode_1_1name__serve.html#a9be361d753b1fad8e843804373040220',1,'hnode::name_serve::set_prefix()'],['../classhnode_1_1newname__map__t.html#a4b6fbe34369a9ec68de46097f839c8b2',1,'hnode::newname_map_t::set_prefix()']]], - ['setarrayparameters_46',['setArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a26667c2766b34d55061efedda27946be',1,'sc_ast_matchers::ModuleInstanceType']]], - ['setarraytype_47',['setArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afe46b03c037611210008d2163a5545a9',1,'sc_ast_matchers::ModuleInstanceType::setArrayType()'],['../classsystemc__clang_1_1PortDecl.html#ade19aaa93ba5dd851d56f103541a79d0',1,'systemc_clang::PortDecl::setArrayType()']]], - ['setbinding_48',['setBinding',['../classsystemc__clang_1_1PortDecl.html#a4e73bb1543ed5bd48f627c27c0a3d6eb',1,'systemc_clang::PortDecl']]], - ['setdelta_49',['setDelta',['../classsystemc__clang_1_1State.html#a5bb9146ab23235ba40befc51df84dd9b',1,'systemc_clang::State']]], - ['setdifference_50',['setDifference',['../classsystemc__clang_1_1SplitCFG.html#a9c44d35e163416e81c0d9cbea284a4ed',1,'systemc_clang::SplitCFG']]], - ['setdiscovered_51',['setDiscovered',['../classsystemc__clang_1_1TreeNode.html#a056c6410d3527c575bc22a588242f5ae',1,'systemc_clang::TreeNode']]], - ['setentrymethod_52',['setEntryMethod',['../classsystemc__clang_1_1EntryFunctionContainer.html#a0c849772c2f9f2bdc4f368a08df5f3b8',1,'systemc_clang::EntryFunctionContainer']]], - ['setevent_53',['setEvent',['../classsystemc__clang_1_1State.html#a060421965cdc1cec1205673a684f1619',1,'systemc_clang::State']]], - ['setfalsepathinfo_54',['setFalsePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a65367ac08f27b2525575fc7ac41a4cde',1,'systemc_clang::SplitCFG']]], - ['setinitial_55',['setInitial',['../classsystemc__clang_1_1State.html#a9062e8b2a0857e87be962fda738caa3a',1,'systemc_clang::State']]], - ['setinstanceconstructorname_56',['setInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a552fc900e72c58a08fe91a601d083d99',1,'systemc_clang::PortBinding']]], - ['setinstancedecl_57',['setInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a6db62b5ff1304966418a18b69f7693b5',1,'systemc_clang::ModuleInstance']]], - ['setinstanceinfo_58',['setInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#abc740918c2e997127ed087312badb1bc',1,'systemc_clang::ModuleInstance']]], - ['setinstancename_59',['setInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a1fba1898ad43cf99cbfdae34abb7b0ce',1,'systemc_clang::ModuleInstance']]], - ['setinstancevarname_60',['setInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#aae351ed5cfcc4a1203d2c96160060872',1,'systemc_clang::PortBinding']]], - ['setmodulename_61',['setModuleName',['../classsystemc__clang_1_1InterfaceDecl.html#a239b65aa6854a651f02ad0436d2b4b85',1,'systemc_clang::InterfaceDecl::setModuleName()'],['../classsystemc__clang_1_1ModuleInstance.html#a7b402d99a2d59c224e8054680d876690',1,'systemc_clang::ModuleInstance::setModuleName()'],['../classsystemc__clang_1_1PortDecl.html#a493bb5572de153c0022f164d01f0c73c',1,'systemc_clang::PortDecl::setModuleName()']]], - ['setname_62',['setName',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad0736a5aaebf5ba282fa6412b0b4715c',1,'systemc_clang::EntryFunctionContainer']]], - ['setnextstate_63',['setNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a65c94baab4e5bc5ff5089b351e76e4fe',1,'systemc_clang::SplitCFGBlock']]], - ['setparent_64',['setParent',['../classsystemc__clang_1_1TreeNode.html#a9b4c33b13848aa25ef768e9eac358378',1,'systemc_clang::TreeNode']]], - ['setparentblock_65',['setParentBlock',['../classsystemc__clang_1_1SusCFG.html#a135ec6375d399f705a442e5e051d80ac',1,'systemc_clang::SusCFG']]], - ['setparentfielddecl_66',['setParentFieldDecl',['../classsc__ast__matchers_1_1InstanceMatcher.html#a0e9f438e721040aab1efb5f720ff11dd',1,'sc_ast_matchers::InstanceMatcher']]], - ['setpointertype_67',['setPointertype',['../classsystemc__clang_1_1PortDecl.html#a2376dcbe7f5114f1f1f052a8192e2cfb',1,'systemc_clang::PortDecl']]], - ['setprocesstype_68',['setProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae6e38aced6b2eb7ea7ba5d5e7096977b',1,'systemc_clang::EntryFunctionContainer']]], - ['setroot_69',['setRoot',['../classsystemc__clang_1_1Tree.html#abd1117c1d2a296368845662350a1c837',1,'systemc_clang::Tree']]], - ['settemplateargs_70',['setTemplateArgs',['../classsystemc__clang_1_1ModuleInstance.html#a37212075649e484c08f914f7462c0a7a',1,'systemc_clang::ModuleInstance']]], - ['settemplateparameters_71',['setTemplateParameters',['../classsystemc__clang_1_1ModuleInstance.html#a59c8f4c1702401d02279e3246f1b6066',1,'systemc_clang::ModuleInstance']]], - ['settimed_72',['setTimed',['../classsystemc__clang_1_1State.html#abecd2759cf4e2be06e3bf843d86730f0',1,'systemc_clang::State']]], - ['settopmodule_73',['setTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a9f951a7b3b7159cc52768dc5df775279',1,'systemc_clang::SystemCConsumer']]], - ['settruepathinfo_74',['setTruePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a15e4a4dac36eb47308fd516715623a6f',1,'systemc_clang::SplitCFG']]], - ['setupsenslist_75',['SetupSenslist',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a11394e7cefee35cd54676c564b965b83',1,'systemc_hdl::HDLConstructorHcode']]], - ['setwaitblock_76',['setWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a92f11cfbf9299bed6677ef957e910cd0',1,'systemc_clang::SusCFG']]], - ['setwaitstmt_77',['setWaitStmt',['../classsystemc__clang_1_1SusCFG.html#aef66008d2d7e51db211ea46302d5d226',1,'systemc_clang::SusCFG']]], - ['sgvisited_78',['SGVisited',['../classsystemc__hdl_1_1HDLThread.html#a9ebfb8e43f16d77cdd947cb2b87db7a9',1,'systemc_hdl::HDLThread']]], - ['shadowstring_79',['shadowstring',['../classsystemc__hdl_1_1HDLThread.html#a805427ad6d48d1739c250b00fb26577f',1,'systemc_hdl::HDLThread']]], - ['shouldvisittemplateinstantiations_80',['shouldVisitTemplateInstantiations',['../classsystemc__clang_1_1FindConstructor.html#a0c97f5366eb8b05fe239a84c336ae946',1,'systemc_clang::FindConstructor::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindEntryFunctions.html#ac7165b7fc5f121975190f62f60a32247',1,'systemc_clang::FindEntryFunctions::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindNotify.html#a39cfc189de65ee37ae8c4784a4c32200',1,'systemc_clang::FindNotify::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindTemplateTypes.html#af1c0f61d80834c79db5b79256f157b98',1,'systemc_clang::FindTemplateTypes::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindWait.html#a491f12402361f17d167986280ba960f9',1,'systemc_clang::FindWait::shouldVisitTemplateInstantiations()'],['../classsystemc__hdl_1_1StmtVisitor.html#a4a841692e72dd4fb406a48bb2bf6de02',1,'systemc_hdl::StmtVisitor::shouldVisitTemplateInstantiations()']]], - ['sigdecltype_81',['sigdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#adc55f799c881d5762930b638333be1fd',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.sigdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aae0f3e11cdde0f4f42f9c801c6e8b043',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.sigdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a48b5087d4ec961d8146d2363abc4f2fd',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.sigdecltype()']]], - ['signal_5ffields_5f_82',['signal_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#abf60489f8beb59c611631acf505ec127',1,'sc_ast_matchers::PortMatcher']]], - ['signaldecl_83',['SignalDecl',['../classsystemc__clang_1_1SignalDecl.html',1,'systemc_clang::SignalDecl'],['../classsystemc__clang_1_1SignalDecl.html#aec4c010bf96221d61477e03f70569f00',1,'systemc_clang::SignalDecl::SignalDecl()'],['../classsystemc__clang_1_1SignalDecl.html#afdf0c2aec12a1db0ec5f0481b5a423af',1,'systemc_clang::SignalDecl::SignalDecl(const PortDecl &pd)'],['../classsystemc__clang_1_1SignalDecl.html#a1f606dd92179a90f4e787ccc76e450d3',1,'systemc_clang::SignalDecl::SignalDecl(const std::string &name, clang::FieldDecl *fd, FindTemplateTypes *tt)']]], - ['signaldecl_2ecpp_84',['SignalDecl.cpp',['../SignalDecl_8cpp.html',1,'']]], - ['signaldecl_2eh_85',['SignalDecl.h',['../SignalDecl_8h.html',1,'']]], - ['signalmaptype_86',['signalMapType',['../classsystemc__clang_1_1ModuleInstance.html#adad65a1c03e742c7c8eb6d0bd1a57271',1,'systemc_clang::ModuleInstance']]], - ['signalmatcher_87',['signalMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#a8386ce39015a0c2e27b7abd022b2331c',1,'sc_ast_matchers::PortMatcher']]], - ['signalpairtype_88',['signalPairType',['../classsystemc__clang_1_1ModuleInstance.html#a10db7a1b668cf6e6d295ecd7c1b680bd',1,'systemc_clang::ModuleInstance']]], - ['signals_5f_89',['signals_',['../classsystemc__clang_1_1ModuleInstance.html#ac1a7f1df5c43344d90f00fbb62671fc5',1,'systemc_clang::ModuleInstance']]], - ['simulation_5ftime_5f_90',['simulation_time_',['../classsystemc__clang_1_1FindSimTime.html#ab11f4f33eae3f1b17de80a2b8f506c84',1,'systemc_clang::FindSimTime::simulation_time_'],['../classsystemc__clang_1_1Model.html#aba295d5c65270b693dcac7320e6815a5',1,'systemc_clang::Model::simulation_time_']]], - ['simulationtimemaptype_91',['simulationTimeMapType',['../classsystemc__clang_1_1FindSimTime.html#a825b0d05702c9257ea2e4b7abf29b1a6',1,'systemc_clang::FindSimTime']]], - ['simulationtimepairtype_92',['simulationTimePairType',['../classsystemc__clang_1_1FindSimTime.html#a30ac43e1375ecb5fae8c458752de70b4',1,'systemc_clang::FindSimTime']]], - ['size_93',['size',['../classsystemc__clang_1_1Tree.html#a09b70ee861ad8249595e3addb2110e74',1,'systemc_clang::Tree::size()'],['../classhnode_1_1hNode.html#a8096c4b11f8fb91cfc08b7b3af92d2be',1,'hnode::hNode::size()'],['../classhnode_1_1newname__map__t.html#a5ab10a8703c2a79cbe2cfe9d22542f4e',1,'hnode::newname_map_t::size()']]], - ['slice_5fmerge_2epy_94',['slice_merge.py',['../slice__merge_8py.html',1,'']]], - ['slicemerge_95',['SliceMerge',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html',1,'parselib::transforms::slice_merge']]], - ['sm_5f_96',['sm_',['../classsystemc__clang_1_1SystemCConsumer.html#a22da7bc944c40b4a978f3a62c1b64df6',1,'systemc_clang::SystemCConsumer']]], - ['some_5fvars_97',['some_vars',['../namespaceparselib_1_1transforms_1_1top__down.html#a278101361c8e2c72e8faaf817110adad',1,'parselib::transforms::top_down']]], - ['sort_5fvar_5fdecl_2epy_98',['sort_var_decl.py',['../sort__var__decl_8py.html',1,'']]], - ['sortvardecl_99',['SortVarDecl',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html',1,'parselib::transforms::sort_var_decl']]], - ['split_5fblock_5f_100',['split_block_',['../structsystemc__clang_1_1SupplementaryInfo.html#aec268784a98bdc5417a97e5758d4273f',1,'systemc_clang::SupplementaryInfo::split_block_'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#adbbf63b5ebafeeae12619db93b7b6c9f',1,'systemc_clang::SplitCFGPathInfo::split_block_']]], - ['split_5fblocks_5f_101',['split_blocks_',['../classsystemc__clang_1_1SplitCFG.html#a8bbdfe75dba470500b7d606bf991f998',1,'systemc_clang::SplitCFG']]], - ['split_5felements_102',['split_elements',['../classsystemc__clang_1_1SplitCFG.html#a9f3af899105c9469955729e82fb5338b',1,'systemc_clang::SplitCFG']]], - ['splitblock_103',['splitBlock',['../classsystemc__clang_1_1SplitCFG.html#a16b0a78a1457b8f4c6047bc0a540c347',1,'systemc_clang::SplitCFG']]], - ['splitcfg_104',['SplitCFG',['../classsystemc__clang_1_1SplitCFG.html',1,'systemc_clang::SplitCFG'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#aea9ac5ef7d95a62e9f39f8472d8b88bf',1,'systemc_clang::SplitCFGPathInfo::SplitCFG'],['../classsystemc__clang_1_1SplitCFGBlock.html#a120b5d8ff7e5eeb49f7b75f6bf3eec88',1,'systemc_clang::SplitCFGBlock::SplitCFG'],['../classsystemc__clang_1_1SplitCFG.html#a7317e453bc6db945c4fb91f37957d6ef',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context)'],['../classsystemc__clang_1_1SplitCFG.html#adde4348e4a7b7eeb571686c94f70e392',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context, const clang::CXXMethodDecl *cxx_decl)'],['../classsystemc__clang_1_1SplitCFG.html#a3c5d710eb6b1723ac8164a514d58170e',1,'systemc_clang::SplitCFG::SplitCFG(const SplitCFG &from)=delete']]], - ['splitcfg_2ecpp_105',['SplitCFG.cpp',['../SplitCFG_8cpp.html',1,'']]], - ['splitcfg_2eh_106',['SplitCFG.h',['../SplitCFG_8h.html',1,'']]], - ['splitcfgblock_107',['SplitCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html',1,'systemc_clang::SplitCFGBlock'],['../classsystemc__clang_1_1SplitCFGBlock.html#a8dd803fa9818afe2c91ea9d042ba4e8f',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a25ef243e53517c5960f4ba902a5e297a',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock(const SplitCFGBlock &from)']]], - ['splitcfgblock_2ecpp_108',['SplitCFGBlock.cpp',['../SplitCFGBlock_8cpp.html',1,'']]], - ['splitcfgblock_2eh_109',['SplitCFGBlock.h',['../SplitCFGBlock_8h.html',1,'']]], - ['splitcfgblockptrvector_110',['SplitCFGBlockPtrVector',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4030d2ebdfac304694b56f22f600bf0b',1,'systemc_clang::SplitCFGPathInfo']]], - ['splitcfgpath_111',['SplitCFGPath',['../classsystemc__clang_1_1SplitCFG.html#a80f81d75f8262a9f505c26ad49ee866a',1,'systemc_clang::SplitCFG']]], - ['splitcfgpathinfo_112',['SplitCFGPathInfo',['../classsystemc__clang_1_1SplitCFGPathInfo.html',1,'systemc_clang::SplitCFGPathInfo'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#a53af19f9ee3109ae0118f715cf7f2f2c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGBlock *block)'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#aafe4f38b5e2aa9823bff67593151ec5c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGPathInfo &from)']]], - ['splitcfgpathpair_113',['SplitCFGPathPair',['../classsystemc__clang_1_1SplitCFG.html#a5b4d001f2c98d23cf52fe590bde600db',1,'systemc_clang::SplitCFG']]], - ['stack_5fcurrent_5fnode_5f_114',['stack_current_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a3e3a6f5f7cfb2ad47fb77a4253794d1b',1,'systemc_clang::FindTemplateTypes']]], - ['start_115',['start',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#aaff91fe16e0050545f49dd5db5f80d67',1,'parselib.transforms.structure_collector.StructureCollector.start()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aa92a6175c5458061bbcbfd093cc70231',1,'parselib.transforms.typedef_filter.TypeDefFilter.start()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aaac090241019b2378352fd7ad6c3a9ac',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.start()']]], - ['state_116',['State',['../classsystemc__clang_1_1State.html',1,'systemc_clang::State'],['../classsystemc__clang_1_1State.html#ad37dddd460756873361f938634f0b6a4',1,'systemc_clang::State::State()']]], - ['state_5fstring_117',['state_string',['../classsystemc__hdl_1_1HDLThread.html#a52b80c7aab89c6e0c8b9ef813ef1c46f',1,'systemc_hdl::HDLThread']]], - ['statecommoncodeblockmaptype_118',['stateCommonCodeBlockMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#aae6a731fdb21d67d95137f94512a5405',1,'systemc_clang::SuspensionAutomata']]], - ['statecommoncodeblockpairtype_119',['stateCommonCodeBlockPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a2a99d042221a40e8516a9dfe8db991b0',1,'systemc_clang::SuspensionAutomata']]], - ['statement_120',['Statement',['../classparselib_1_1transforms_1_1node_1_1Statement.html',1,'parselib::transforms::node']]], - ['statestringsymbol_121',['statestringsymbol',['../classsystemc__hdl_1_1HDLThread.html#a678a0d04f6749cb5e3f6cdc92d181baf',1,'systemc_hdl::HDLThread']]], - ['statetransitionsmaptype_122',['stateTransitionsMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a878dca3e21d6fbd0d71240b2810b4621',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['statetransitionspairtype_123',['stateTransitionsPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aaee6cb4d3d4f63c2f860b0b83022bf78',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['step_124',['step',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a2bb0bae84cb81c8fb5ae2e6584ce6884',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['stmt_125',['stmt',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a00210944ac623566171b18d08a6c1108',1,'parselib.transforms.alias_translation.AliasTranslation.stmt()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a8d6a4b53409755a6dddafc62e358f178',1,'parselib.transforms.typedef_expansion.TypedefExpansion.stmt()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af0fc714f48e5f2c01ec7f79cc7ef1a7d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmt()']]], - ['stmts_126',['stmts',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a61a41c91b004a542f480cea2101c8425',1,'parselib.transforms.comma_transformation.CommaTransformation.stmts()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae2e66d8a4f094af49d41958b99991758',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmts()']]], - ['stmtvisitor_127',['StmtVisitor',['../classsystemc__hdl_1_1StmtVisitor.html',1,'systemc_hdl::StmtVisitor'],['../classsystemc__hdl_1_1StmtVisitor.html#aacee235cc248393f4f13558dbb3d131f',1,'systemc_hdl::StmtVisitor::StmtVisitor()']]], - ['str2hdlopenum_128',['str2hdlopenum',['../classhnode_1_1hNode.html#aec703ee51063e979f59919fb4d11494c',1,'hnode::hNode']]], - ['strip_129',['strip',['../classsystemc__clang_1_1Utility.html#a2a23cd95dfe9b197856e28f1f2f70d0d',1,'systemc_clang::Utility']]], - ['strip_5fio_5for_5fdefault_130',['strip_io_or_default',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afd73cb8260d64ee491cedd6e763fdf5b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['structure_131',['structure',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a6c6b7be784c7af030e25fb25f5fd8f60',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['structure_5fcollector_2epy_132',['structure_collector.py',['../structure__collector_8py.html',1,'']]], - ['structurecollector_133',['StructureCollector',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html',1,'parselib::transforms::structure_collector']]], - ['sub_5fpath_5fto_5fspecial_5fnode_5f_134',['sub_path_to_special_node_',['../classsystemc__clang_1_1SplitCFG.html#a03631649d4bd70bef9cef61459eeddd5',1,'systemc_clang::SplitCFG']]], - ['submodules_5f_135',['submodules_',['../classsc__ast__matchers_1_1PortMatcher.html#a3bf521271c460c87b76f2e4dcce26463',1,'sc_ast_matchers::PortMatcher']]], - ['substituteindex_136',['SubstituteIndex',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a16cdcd5153ca3c23537b9970ba1096ce',1,'systemc_hdl::HDLConstructorHcode']]], - ['succ_5fbegin_137',['succ_begin',['../classsystemc__clang_1_1SplitCFGBlock.html#a1e8ab8d16b9c0620bee34810ab8f8d6e',1,'systemc_clang::SplitCFGBlock']]], - ['succ_5fempty_138',['succ_empty',['../classsystemc__clang_1_1SplitCFGBlock.html#a7e57f9fe0f2ac8c6c8310911505c54bb',1,'systemc_clang::SplitCFGBlock']]], - ['succ_5fend_139',['succ_end',['../classsystemc__clang_1_1SplitCFGBlock.html#aab262fc4101322b1bbe04037d019c536',1,'systemc_clang::SplitCFGBlock']]], - ['succ_5fiterator_140',['succ_iterator',['../classsystemc__clang_1_1SplitCFGBlock.html#aa4bb354ee3297f3236f6cb523fbc9b3e',1,'systemc_clang::SplitCFGBlock']]], - ['succ_5fiterator_5frange_141',['succ_iterator_range',['../classsystemc__clang_1_1SplitCFGBlock.html#a70ed4a414aab22df96b461ee5f73a2b2',1,'systemc_clang::SplitCFGBlock']]], - ['successoriterator_142',['SuccessorIterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html',1,'systemc_clang::SplitCFGBlock::SuccessorIterator'],['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#adabdfd132e509950e05c308051968f38',1,'systemc_clang::SplitCFGBlock::SuccessorIterator::SuccessorIterator()']]], - ['successors_5f_143',['successors_',['../classsystemc__clang_1_1SplitCFGBlock.html#ac96deb5f74e80b56f48905bb8f9208c7',1,'systemc_clang::SplitCFGBlock']]], - ['succs_5f_144',['succs_',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ad6649db3e44d213822d7576796453fc6',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['suffix_145',['suffix',['../classparselib_1_1primitives_1_1TypeContext.html#a79b971bea16103a8b36c771a46f06775',1,'parselib::primitives::TypeContext']]], - ['supplementaryinfo_146',['SupplementaryInfo',['../structsystemc__clang_1_1SupplementaryInfo.html',1,'systemc_clang::SupplementaryInfo'],['../structsystemc__clang_1_1SupplementaryInfo.html#a09699789e9bfd1b52861911d870d5b51',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SplitCFGBlock *block)'],['../structsystemc__clang_1_1SupplementaryInfo.html#a7ea97abc86692b2433eedbbd023da7ef',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SupplementaryInfo &from)']]], - ['suscfg_147',['SusCFG',['../classsystemc__clang_1_1SusCFG.html',1,'systemc_clang::SusCFG'],['../classsystemc__clang_1_1SusCFG.html#a225702c64f53648159228f3bd9e94a8a',1,'systemc_clang::SusCFG::SusCFG()']]], - ['suscfgblockgpumacromaptype_148',['susCFGBlockGPUMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a7e094423fe02ec74ca351dc96080b727',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['suscfgblockgpumacropairtype_149',['susCFGBlockGPUMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a56876eccc173ec3bb55c2f9fd44624e7',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['suscfgstatemap_150',['susCFGStateMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a6867fb869f7dc5ff46912696f2a7c64a',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgstatemaptype_151',['susCFGStateMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#af04f9c5b80049692098b3be1bc279797',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgstatepairtype_152',['susCFGStatePairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a2cd80713088bf072fc588d70f07b36f0',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgsuccidmap_153',['susCFGSuccIDMap',['../classsystemc__clang_1_1SuspensionAutomata.html#ad9ba3ec9309524453b635103a7719615',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgsuccidmaptype_154',['susCFGSuccIDMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#a875e6dbf8445290e70d50ba0127b6e58',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgsuccidpairtype_155',['susCFGSuccIDPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a17b2c1b494ee49c87c2356af922c4457',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgvectortype_156',['susCFGVectorType',['../classsystemc__clang_1_1SuspensionAutomata.html#a28e552a993a2ea6ba41eec08e2e903b2',1,'systemc_clang::SuspensionAutomata']]], - ['suspensionautomata_157',['SuspensionAutomata',['../classsystemc__clang_1_1SuspensionAutomata.html',1,'systemc_clang::SuspensionAutomata'],['../classsystemc__clang_1_1SuspensionAutomata.html#a111035acf37a142a8b80d6c0294d3df7',1,'systemc_clang::SuspensionAutomata::SuspensionAutomata()']]], - ['suspensionautomata_2ecpp_158',['SuspensionAutomata.cpp',['../SuspensionAutomata_8cpp.html',1,'']]], - ['suspensionautomata_2eh_159',['SuspensionAutomata.h',['../SuspensionAutomata_8h.html',1,'']]], - ['switchbody_160',['switchbody',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab0535be066d9e5a5a8c4f710425a4b25',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['switchcond_161',['switchcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3359e6ee33e89bd2b08711d80332a68c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['switchstmt_162',['switchstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca0642ed56e47ed219f77dfd41d922f3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['syscread_163',['syscread',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a3df55289bf7e7dec1f841ab36ffe8bb7',1,'parselib.transforms.alias_translation.AliasTranslation.syscread()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a94583965f34d70d8c9c4fcfd0f9d1c94',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.syscread(self, tree)']]], - ['syscwrite_164',['syscwrite',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab47e4d58cee4414d95fbca7aa106c676',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['systemc_165',['systemc',['../namespacesystemc.html',1,'']]], - ['systemc_20clang_20is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_166',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], - ['systemc_20constructs_20built_20using_20clang_167',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], - ['systemc_2dclang_168',['systemc-clang',['../namespacesystemc-clang.html',1,'']]], - ['systemc_2dclang_2epy_169',['systemc-clang.py',['../systemc-clang_8py.html',1,'']]], - ['systemc_5fclang_170',['systemc_clang',['../namespacesystemc__clang.html',1,'']]], - ['systemc_5fclang_3a_3autils_171',['utils',['../namespacesystemc__clang_1_1utils.html',1,'systemc_clang']]], - ['systemc_5fclang_3a_3autils_3a_3aapint_172',['apint',['../namespacesystemc__clang_1_1utils_1_1apint.html',1,'systemc_clang::utils']]], - ['systemc_5fclang_5fbinary_173',['systemc_clang_binary',['../classsystemc-clang_1_1SystemCClang.html#a49f1bc53f376bd0b2405fee88e4732a8',1,'systemc-clang.SystemCClang.systemc_clang_binary'],['../classsystemc-clang_1_1SystemCClang.html#acc59bd05e9e0b93c5c7f0be2c594551a',1,'systemc-clang.SystemCClang.systemc_clang_binary(self)']]], - ['systemc_5fclang_5fbuild_5fdir_174',['systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a5dbab16a56f6cabe9a020576b0cd9525',1,'systemc-clang::SystemCClang']]], - ['systemc_5fhdl_175',['systemc_hdl',['../namespacesystemc__hdl.html',1,'']]], - ['systemc_5finc_5fdir_176',['systemc_inc_dir',['../classsystemc-clang_1_1SystemCClang.html#a197367fbf7e6fc1a2bd64c594562e29b',1,'systemc-clang::SystemCClang']]], - ['systemc_5fmodel_5f_177',['systemc_model_',['../classsystemc__clang_1_1SystemCConsumer.html#a9b479529e66790c1ff22460dfcff871f',1,'systemc_clang::SystemCConsumer']]], - ['systemc_5fpath_178',['systemc_path',['../classsystemc-clang_1_1SystemCClang.html#a97d9c2fdeb4630bdc9e874333d81de9d',1,'systemc-clang.SystemCClang.systemc_path'],['../classsystemc-clang_1_1SystemCClang.html#af320f0136cad369845cc0c8cb921d587',1,'systemc-clang.SystemCClang.systemc_path(self)']]], - ['systemcclang_179',['SystemCClang',['../classsystemc-clang_1_1SystemCClang.html',1,'systemc-clang.SystemCClang'],['../classsystemc__clang_1_1SystemCClang.html',1,'systemc_clang::SystemCClang'],['../classsystemc__clang_1_1SystemCClang.html#af9fc1d3261451ffee95f10351d033919',1,'systemc_clang::SystemCClang::SystemCClang()']]], - ['systemcclang_2ecpp_180',['SystemCClang.cpp',['../SystemCClang_8cpp.html',1,'']]], - ['systemcclang_2eh_181',['SystemCClang.h',['../SystemCClang_8h.html',1,'']]], - ['systemcclangaxn_182',['SystemCClangAXN',['../classsystemc__clang_1_1SystemCClangAXN.html',1,'systemc_clang::SystemCClangAXN'],['../classsystemc__clang_1_1SystemCClangAXN.html#ac69766a7761fbfef6fc497ac7e0b2643',1,'systemc_clang::SystemCClangAXN::SystemCClangAXN()']]], - ['systemcclangfatalerror_183',['SystemCClangFatalError',['../classsystemc-clang_1_1SystemCClangFatalError.html',1,'systemc-clang']]], - ['systemcconsumer_184',['SystemCConsumer',['../classsystemc__clang_1_1SystemCConsumer.html',1,'systemc_clang::SystemCConsumer'],['../classsystemc__clang_1_1SystemCConsumer.html#a7329006e29dd8aaade834857342ca945',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::CompilerInstance &, std::string top="!none")'],['../classsystemc__clang_1_1SystemCConsumer.html#a8144d02a15221bb1e3809835c566e73d',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::ASTUnit *from_ast, std::string top="!none")']]], - ['sz_185',['sz',['../classparselib_1_1primitives_1_1array.html#af0808720fab5cd3080c00ae0da5d64c7',1,'parselib::primitives::array']]] + ['search_5fkey_5fin_5fouter_5fcontext_32',['search_key_in_outer_context',['../classparselib_1_1utils_1_1ContextManager.html#a43129b48b07e652d4dbdc52e54e5e025',1,'parselib::utils::ContextManager']]], + ['senselist_33',['senselist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae1bb8b84621e89390fd6743220ddc440',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselist'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2c98f3d82bc3672c1bdd4a7adf2c5250',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselist']]], + ['sensemap_5f_34',['senseMap_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5bff0871f39f8278dc7d3d1aabff1fa7',1,'systemc_clang::EntryFunctionContainer']]], + ['sensemaptype_35',['SenseMapType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a2d7c081f7ccfac2b94d3835e8430c539',1,'sc_ast_matchers::SensitivityMatcher::SenseMapType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a22166023ddb73f6374d0f3afd8f715ba',1,'systemc_clang::EntryFunctionContainer::SenseMapType']]], + ['sensevar_5fdict_36',['sensevar_dict',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#aaccc73d56cc55e83c224a2acb3a8231b',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['sensevar_5fmovement_2epy_37',['sensevar_movement.py',['../sensevar__movement_8py.html',1,'']]], + ['sensevarmovement_38',['SensevarMovement',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html',1,'parselib::transforms::sensevar_movement']]], + ['sensitiveoperatorcallmatcher_39',['SensitiveOperatorCallMatcher',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html',1,'sc_ast_matchers']]], + ['sensitivity_5f_40',['sensitivity_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afcdb6aa9e91e267172669333602d17aa',1,'sc_ast_matchers::SensitivityMatcher']]], + ['sensitivitymatcher_41',['SensitivityMatcher',['../classsc__ast__matchers_1_1SensitivityMatcher.html',1,'sc_ast_matchers']]], + ['sensitivitymatcher_2eh_42',['SensitivityMatcher.h',['../SensitivityMatcher_8h.html',1,'']]], + ['sensitivitypairtype_43',['SensitivityPairType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a093c59a4389a3dd64769a84ad21c6560',1,'sc_ast_matchers::SensitivityMatcher::SensitivityPairType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#af8c2348b755b6f0f383c3bfb6f4289f8',1,'systemc_clang::EntryFunctionContainer::SensitivityPairType']]], + ['sensitivitytupletype_44',['SensitivityTupleType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a4b984351dd9645c4e619f451fde8b3b5',1,'sc_ast_matchers::SensitivityMatcher::SensitivityTupleType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a484374650efa186879d453124b53c3ba',1,'systemc_clang::EntryFunctionContainer::SensitivityTupleType']]], + ['sep_45',['sep',['../classparselib_1_1primitives_1_1TypeContext.html#a1feb47a16996ff69784488b87ed014ab',1,'parselib::primitives::TypeContext']]], + ['set_46',['set',['../classhnode_1_1hNode.html#a59ce73e9dec57311dc1fc18b7ab66aac',1,'hnode::hNode::set(hdlopsEnum h, string s="")'],['../classhnode_1_1hNode.html#aee97c18f0579336450d0213f1e35c87c',1,'hnode::hNode::set(string s="")']]], + ['set_5fcurrent_5fproc_5fname_47',['set_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab8b0a54e2d4f04ddb92982dc5b0676ed',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['set_5fids_5fin_5ftree_5fdfs_48',['set_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#ac74638a66ced21a8ec31fc4591b3467c',1,'parselib::utils']]], + ['set_5fprefix_49',['set_prefix',['../classhnode_1_1name__serve.html#a9be361d753b1fad8e843804373040220',1,'hnode::name_serve::set_prefix()'],['../classhnode_1_1newname__map__t.html#a4b6fbe34369a9ec68de46097f839c8b2',1,'hnode::newname_map_t::set_prefix()']]], + ['setarrayparameters_50',['setArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a26667c2766b34d55061efedda27946be',1,'sc_ast_matchers::ModuleInstanceType']]], + ['setarraytype_51',['setArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afe46b03c037611210008d2163a5545a9',1,'sc_ast_matchers::ModuleInstanceType::setArrayType()'],['../classsystemc__clang_1_1PortDecl.html#ade19aaa93ba5dd851d56f103541a79d0',1,'systemc_clang::PortDecl::setArrayType()']]], + ['setbinding_52',['setBinding',['../classsystemc__clang_1_1PortDecl.html#a4e73bb1543ed5bd48f627c27c0a3d6eb',1,'systemc_clang::PortDecl']]], + ['setdelta_53',['setDelta',['../classsystemc__clang_1_1State.html#a5bb9146ab23235ba40befc51df84dd9b',1,'systemc_clang::State']]], + ['setdifference_54',['setDifference',['../classsystemc__clang_1_1SplitCFG.html#a9c44d35e163416e81c0d9cbea284a4ed',1,'systemc_clang::SplitCFG']]], + ['setdiscovered_55',['setDiscovered',['../classsystemc__clang_1_1TreeNode.html#a056c6410d3527c575bc22a588242f5ae',1,'systemc_clang::TreeNode']]], + ['setentrymethod_56',['setEntryMethod',['../classsystemc__clang_1_1EntryFunctionContainer.html#a0c849772c2f9f2bdc4f368a08df5f3b8',1,'systemc_clang::EntryFunctionContainer']]], + ['setevent_57',['setEvent',['../classsystemc__clang_1_1State.html#a060421965cdc1cec1205673a684f1619',1,'systemc_clang::State']]], + ['setfalsepathinfo_58',['setFalsePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a65367ac08f27b2525575fc7ac41a4cde',1,'systemc_clang::SplitCFG']]], + ['setinitial_59',['setInitial',['../classsystemc__clang_1_1State.html#a9062e8b2a0857e87be962fda738caa3a',1,'systemc_clang::State']]], + ['setinstanceconstructorname_60',['setInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a552fc900e72c58a08fe91a601d083d99',1,'systemc_clang::PortBinding']]], + ['setinstancedecl_61',['setInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a6db62b5ff1304966418a18b69f7693b5',1,'systemc_clang::ModuleInstance']]], + ['setinstanceinfo_62',['setInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#abc740918c2e997127ed087312badb1bc',1,'systemc_clang::ModuleInstance']]], + ['setinstancename_63',['setInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a1fba1898ad43cf99cbfdae34abb7b0ce',1,'systemc_clang::ModuleInstance']]], + ['setinstancevarname_64',['setInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#aae351ed5cfcc4a1203d2c96160060872',1,'systemc_clang::PortBinding']]], + ['setmodulename_65',['setModuleName',['../classsystemc__clang_1_1InterfaceDecl.html#a239b65aa6854a651f02ad0436d2b4b85',1,'systemc_clang::InterfaceDecl::setModuleName()'],['../classsystemc__clang_1_1ModuleInstance.html#a7b402d99a2d59c224e8054680d876690',1,'systemc_clang::ModuleInstance::setModuleName()'],['../classsystemc__clang_1_1PortDecl.html#a493bb5572de153c0022f164d01f0c73c',1,'systemc_clang::PortDecl::setModuleName()']]], + ['setname_66',['setName',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad0736a5aaebf5ba282fa6412b0b4715c',1,'systemc_clang::EntryFunctionContainer']]], + ['setnextstate_67',['setNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a65c94baab4e5bc5ff5089b351e76e4fe',1,'systemc_clang::SplitCFGBlock']]], + ['setparent_68',['setParent',['../classsystemc__clang_1_1TreeNode.html#a9b4c33b13848aa25ef768e9eac358378',1,'systemc_clang::TreeNode']]], + ['setparentblock_69',['setParentBlock',['../classsystemc__clang_1_1SusCFG.html#a135ec6375d399f705a442e5e051d80ac',1,'systemc_clang::SusCFG']]], + ['setparentfielddecl_70',['setParentFieldDecl',['../classsc__ast__matchers_1_1InstanceMatcher.html#a0e9f438e721040aab1efb5f720ff11dd',1,'sc_ast_matchers::InstanceMatcher']]], + ['setpointertype_71',['setPointertype',['../classsystemc__clang_1_1PortDecl.html#a2376dcbe7f5114f1f1f052a8192e2cfb',1,'systemc_clang::PortDecl']]], + ['setprocesstype_72',['setProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae6e38aced6b2eb7ea7ba5d5e7096977b',1,'systemc_clang::EntryFunctionContainer']]], + ['setroot_73',['setRoot',['../classsystemc__clang_1_1Tree.html#abd1117c1d2a296368845662350a1c837',1,'systemc_clang::Tree']]], + ['settemplateargs_74',['setTemplateArgs',['../classsystemc__clang_1_1ModuleInstance.html#a37212075649e484c08f914f7462c0a7a',1,'systemc_clang::ModuleInstance']]], + ['settemplateparameters_75',['setTemplateParameters',['../classsystemc__clang_1_1ModuleInstance.html#a59c8f4c1702401d02279e3246f1b6066',1,'systemc_clang::ModuleInstance']]], + ['settimed_76',['setTimed',['../classsystemc__clang_1_1State.html#abecd2759cf4e2be06e3bf843d86730f0',1,'systemc_clang::State']]], + ['settopmodule_77',['setTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a9f951a7b3b7159cc52768dc5df775279',1,'systemc_clang::SystemCConsumer']]], + ['settruepathinfo_78',['setTruePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a15e4a4dac36eb47308fd516715623a6f',1,'systemc_clang::SplitCFG']]], + ['setupsenslist_79',['SetupSenslist',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a11394e7cefee35cd54676c564b965b83',1,'systemc_hdl::HDLConstructorHcode']]], + ['setwaitblock_80',['setWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a92f11cfbf9299bed6677ef957e910cd0',1,'systemc_clang::SusCFG']]], + ['setwaitstmt_81',['setWaitStmt',['../classsystemc__clang_1_1SusCFG.html#aef66008d2d7e51db211ea46302d5d226',1,'systemc_clang::SusCFG']]], + ['sgvisited_82',['SGVisited',['../classsystemc__hdl_1_1HDLThread.html#a9ebfb8e43f16d77cdd947cb2b87db7a9',1,'systemc_hdl::HDLThread']]], + ['shadowstring_83',['shadowstring',['../classsystemc__hdl_1_1HDLThread.html#a805427ad6d48d1739c250b00fb26577f',1,'systemc_hdl::HDLThread']]], + ['shouldvisittemplateinstantiations_84',['shouldVisitTemplateInstantiations',['../classsystemc__clang_1_1FindConstructor.html#a0c97f5366eb8b05fe239a84c336ae946',1,'systemc_clang::FindConstructor::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindEntryFunctions.html#ac7165b7fc5f121975190f62f60a32247',1,'systemc_clang::FindEntryFunctions::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindNotify.html#a39cfc189de65ee37ae8c4784a4c32200',1,'systemc_clang::FindNotify::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindTemplateTypes.html#af1c0f61d80834c79db5b79256f157b98',1,'systemc_clang::FindTemplateTypes::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindWait.html#a491f12402361f17d167986280ba960f9',1,'systemc_clang::FindWait::shouldVisitTemplateInstantiations()'],['../classsystemc__hdl_1_1StmtVisitor.html#a4a841692e72dd4fb406a48bb2bf6de02',1,'systemc_hdl::StmtVisitor::shouldVisitTemplateInstantiations()']]], + ['sigdecltype_85',['sigdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#adc55f799c881d5762930b638333be1fd',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.sigdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aae0f3e11cdde0f4f42f9c801c6e8b043',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.sigdecltype()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#af800a8d318020b0910cff75af5bd1a68',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.sigdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a48b5087d4ec961d8146d2363abc4f2fd',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.sigdecltype()']]], + ['signal_5ffields_5f_86',['signal_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#abf60489f8beb59c611631acf505ec127',1,'sc_ast_matchers::PortMatcher']]], + ['signaldecl_87',['SignalDecl',['../classsystemc__clang_1_1SignalDecl.html',1,'systemc_clang::SignalDecl'],['../classsystemc__clang_1_1SignalDecl.html#aec4c010bf96221d61477e03f70569f00',1,'systemc_clang::SignalDecl::SignalDecl()'],['../classsystemc__clang_1_1SignalDecl.html#afdf0c2aec12a1db0ec5f0481b5a423af',1,'systemc_clang::SignalDecl::SignalDecl(const PortDecl &pd)'],['../classsystemc__clang_1_1SignalDecl.html#a1f606dd92179a90f4e787ccc76e450d3',1,'systemc_clang::SignalDecl::SignalDecl(const std::string &name, clang::FieldDecl *fd, FindTemplateTypes *tt)']]], + ['signaldecl_2ecpp_88',['SignalDecl.cpp',['../SignalDecl_8cpp.html',1,'']]], + ['signaldecl_2eh_89',['SignalDecl.h',['../SignalDecl_8h.html',1,'']]], + ['signalmaptype_90',['signalMapType',['../classsystemc__clang_1_1ModuleInstance.html#a6fe18eac8e16aea2b3eb5212167629aa',1,'systemc_clang::ModuleInstance']]], + ['signalmatcher_91',['signalMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#a8386ce39015a0c2e27b7abd022b2331c',1,'sc_ast_matchers::PortMatcher']]], + ['signalpairtype_92',['signalPairType',['../classsystemc__clang_1_1ModuleInstance.html#aa196bcf515ea11c3965547fad63f3b0a',1,'systemc_clang::ModuleInstance']]], + ['signals_5f_93',['signals_',['../classsystemc__clang_1_1ModuleInstance.html#ac1a7f1df5c43344d90f00fbb62671fc5',1,'systemc_clang::ModuleInstance']]], + ['simulation_5ftime_5f_94',['simulation_time_',['../classsystemc__clang_1_1FindSimTime.html#ab11f4f33eae3f1b17de80a2b8f506c84',1,'systemc_clang::FindSimTime::simulation_time_'],['../classsystemc__clang_1_1Model.html#aba295d5c65270b693dcac7320e6815a5',1,'systemc_clang::Model::simulation_time_']]], + ['simulationtimemaptype_95',['simulationTimeMapType',['../classsystemc__clang_1_1FindSimTime.html#a072502a3bb5b3fb6664e40e701ef0822',1,'systemc_clang::FindSimTime']]], + ['simulationtimepairtype_96',['simulationTimePairType',['../classsystemc__clang_1_1FindSimTime.html#a56c130dd43ecf80fe9a8c1d1f01a510e',1,'systemc_clang::FindSimTime']]], + ['size_97',['size',['../classsystemc__clang_1_1Tree.html#a09b70ee861ad8249595e3addb2110e74',1,'systemc_clang::Tree::size()'],['../classhnode_1_1hNode.html#a8096c4b11f8fb91cfc08b7b3af92d2be',1,'hnode::hNode::size()'],['../classhnode_1_1newname__map__t.html#a5ab10a8703c2a79cbe2cfe9d22542f4e',1,'hnode::newname_map_t::size()']]], + ['slice_5fmerge_2epy_98',['slice_merge.py',['../slice__merge_8py.html',1,'']]], + ['slicemerge_99',['SliceMerge',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html',1,'parselib::transforms::slice_merge']]], + ['sm_5f_100',['sm_',['../classsystemc__clang_1_1SystemCConsumer.html#a22da7bc944c40b4a978f3a62c1b64df6',1,'systemc_clang::SystemCConsumer']]], + ['some_5fvars_101',['some_vars',['../namespaceparselib_1_1transforms_1_1top__down.html#a278101361c8e2c72e8faaf817110adad',1,'parselib::transforms::top_down']]], + ['sort_5fvar_5fdecl_2epy_102',['sort_var_decl.py',['../sort__var__decl_8py.html',1,'']]], + ['sortvardecl_103',['SortVarDecl',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html',1,'parselib::transforms::sort_var_decl']]], + ['split_5fblock_5f_104',['split_block_',['../structsystemc__clang_1_1SupplementaryInfo.html#aec268784a98bdc5417a97e5758d4273f',1,'systemc_clang::SupplementaryInfo::split_block_'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#adbbf63b5ebafeeae12619db93b7b6c9f',1,'systemc_clang::SplitCFGPathInfo::split_block_']]], + ['split_5fblocks_5f_105',['split_blocks_',['../classsystemc__clang_1_1SplitCFG.html#a8bbdfe75dba470500b7d606bf991f998',1,'systemc_clang::SplitCFG']]], + ['split_5felements_106',['split_elements',['../classsystemc__clang_1_1SplitCFG.html#a9f3af899105c9469955729e82fb5338b',1,'systemc_clang::SplitCFG']]], + ['splitblock_107',['splitBlock',['../classsystemc__clang_1_1SplitCFG.html#a16b0a78a1457b8f4c6047bc0a540c347',1,'systemc_clang::SplitCFG']]], + ['splitcfg_108',['SplitCFG',['../classsystemc__clang_1_1SplitCFG.html',1,'systemc_clang::SplitCFG'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#aea9ac5ef7d95a62e9f39f8472d8b88bf',1,'systemc_clang::SplitCFGPathInfo::SplitCFG'],['../classsystemc__clang_1_1SplitCFGBlock.html#a120b5d8ff7e5eeb49f7b75f6bf3eec88',1,'systemc_clang::SplitCFGBlock::SplitCFG'],['../classsystemc__clang_1_1SplitCFG.html#a7317e453bc6db945c4fb91f37957d6ef',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context)'],['../classsystemc__clang_1_1SplitCFG.html#adde4348e4a7b7eeb571686c94f70e392',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context, const clang::CXXMethodDecl *cxx_decl)'],['../classsystemc__clang_1_1SplitCFG.html#a3c5d710eb6b1723ac8164a514d58170e',1,'systemc_clang::SplitCFG::SplitCFG(const SplitCFG &from)=delete']]], + ['splitcfg_2ecpp_109',['SplitCFG.cpp',['../SplitCFG_8cpp.html',1,'']]], + ['splitcfg_2eh_110',['SplitCFG.h',['../SplitCFG_8h.html',1,'']]], + ['splitcfgblock_111',['SplitCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html',1,'systemc_clang::SplitCFGBlock'],['../classsystemc__clang_1_1SplitCFGBlock.html#a8dd803fa9818afe2c91ea9d042ba4e8f',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a25ef243e53517c5960f4ba902a5e297a',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock(const SplitCFGBlock &from)']]], + ['splitcfgblock_2ecpp_112',['SplitCFGBlock.cpp',['../SplitCFGBlock_8cpp.html',1,'']]], + ['splitcfgblock_2eh_113',['SplitCFGBlock.h',['../SplitCFGBlock_8h.html',1,'']]], + ['splitcfgblockptrvector_114',['SplitCFGBlockPtrVector',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4030d2ebdfac304694b56f22f600bf0b',1,'systemc_clang::SplitCFGPathInfo']]], + ['splitcfgpath_115',['SplitCFGPath',['../classsystemc__clang_1_1SplitCFG.html#a80f81d75f8262a9f505c26ad49ee866a',1,'systemc_clang::SplitCFG']]], + ['splitcfgpathinfo_116',['SplitCFGPathInfo',['../classsystemc__clang_1_1SplitCFGPathInfo.html',1,'systemc_clang::SplitCFGPathInfo'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#a53af19f9ee3109ae0118f715cf7f2f2c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGBlock *block)'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#aafe4f38b5e2aa9823bff67593151ec5c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGPathInfo &from)']]], + ['splitcfgpathpair_117',['SplitCFGPathPair',['../classsystemc__clang_1_1SplitCFG.html#a5b4d001f2c98d23cf52fe590bde600db',1,'systemc_clang::SplitCFG']]], + ['stack_118',['stack',['../classparselib_1_1utils_1_1ContextManager.html#a6dcedcd9c5787790b0d3775653301e63',1,'parselib::utils::ContextManager']]], + ['stack_5fcurrent_5fnode_5f_119',['stack_current_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a3e3a6f5f7cfb2ad47fb77a4253794d1b',1,'systemc_clang::FindTemplateTypes']]], + ['start_120',['start',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#aaff91fe16e0050545f49dd5db5f80d67',1,'parselib.transforms.structure_collector.StructureCollector.start()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aa92a6175c5458061bbcbfd093cc70231',1,'parselib.transforms.typedef_filter.TypeDefFilter.start()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aaac090241019b2378352fd7ad6c3a9ac',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.start()']]], + ['state_121',['State',['../classsystemc__clang_1_1State.html',1,'systemc_clang::State'],['../classsystemc__clang_1_1State.html#ad37dddd460756873361f938634f0b6a4',1,'systemc_clang::State::State()']]], + ['state_5fstring_122',['state_string',['../classsystemc__hdl_1_1HDLThread.html#a52b80c7aab89c6e0c8b9ef813ef1c46f',1,'systemc_hdl::HDLThread']]], + ['statecommoncodeblockmaptype_123',['stateCommonCodeBlockMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#af94164bb81e04b505c9d3616c774875b',1,'systemc_clang::SuspensionAutomata']]], + ['statecommoncodeblockpairtype_124',['stateCommonCodeBlockPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a52eda270e4ef3fbc148be12c715f5162',1,'systemc_clang::SuspensionAutomata']]], + ['statement_125',['Statement',['../classparselib_1_1transforms_1_1node_1_1Statement.html',1,'parselib::transforms::node']]], + ['statestringsymbol_126',['statestringsymbol',['../classsystemc__hdl_1_1HDLThread.html#a678a0d04f6749cb5e3f6cdc92d181baf',1,'systemc_hdl::HDLThread']]], + ['statetransitionsmaptype_127',['stateTransitionsMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a653855b128b34b33661237ac65bdf7b0',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['statetransitionspairtype_128',['stateTransitionsPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a961f09d93dafa7efd7d7f08848f81fbb',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['step_129',['step',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a2bb0bae84cb81c8fb5ae2e6584ce6884',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], + ['stmt_130',['stmt',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a00210944ac623566171b18d08a6c1108',1,'parselib.transforms.alias_translation.AliasTranslation.stmt()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aaf75e0fb061ca27b52b3a2334c130cf1',1,'parselib.transforms.port_expansion.PortExpansion.stmt()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a7dcbca5181fe796ae9c65e86bdcd7282',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.stmt()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a5934757651f542a7122ddaa06c8fc85a',1,'parselib.transforms.sensevar_movement.SensevarMovement.stmt()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a8d6a4b53409755a6dddafc62e358f178',1,'parselib.transforms.typedef_expansion.TypedefExpansion.stmt()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af0fc714f48e5f2c01ec7f79cc7ef1a7d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmt()']]], + ['stmts_131',['stmts',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a61a41c91b004a542f480cea2101c8425',1,'parselib.transforms.comma_transformation.CommaTransformation.stmts()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a90c37429c22480ce710d1800bbbc3f8f',1,'parselib.transforms.port_expansion.PortExpansion.stmts()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a9bfa0f6b0b5b6ee444555dc5c61d4a80',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.stmts()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#af24980cda9fdf28b17c1d58f5ca8c87e',1,'parselib.transforms.typedef_expansion.TypedefExpansion.stmts()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae2e66d8a4f094af49d41958b99991758',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmts()']]], + ['stmtvisitor_132',['StmtVisitor',['../classsystemc__hdl_1_1StmtVisitor.html',1,'systemc_hdl::StmtVisitor'],['../classsystemc__hdl_1_1StmtVisitor.html#aacee235cc248393f4f13558dbb3d131f',1,'systemc_hdl::StmtVisitor::StmtVisitor()']]], + ['str2hdlopenum_133',['str2hdlopenum',['../classhnode_1_1hNode.html#aec703ee51063e979f59919fb4d11494c',1,'hnode::hNode']]], + ['strip_134',['strip',['../classsystemc__clang_1_1Utility.html#a2a23cd95dfe9b197856e28f1f2f70d0d',1,'systemc_clang::Utility']]], + ['strip_5fio_5for_5fdefault_135',['strip_io_or_default',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afd73cb8260d64ee491cedd6e763fdf5b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['structure_136',['structure',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a6c6b7be784c7af030e25fb25f5fd8f60',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['structure_5fcollector_2epy_137',['structure_collector.py',['../structure__collector_8py.html',1,'']]], + ['structurecollector_138',['StructureCollector',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html',1,'parselib::transforms::structure_collector']]], + ['sub_5fpath_5fto_5fspecial_5fnode_5f_139',['sub_path_to_special_node_',['../classsystemc__clang_1_1SplitCFG.html#a03631649d4bd70bef9cef61459eeddd5',1,'systemc_clang::SplitCFG']]], + ['submodules_5f_140',['submodules_',['../classsc__ast__matchers_1_1PortMatcher.html#a3bf521271c460c87b76f2e4dcce26463',1,'sc_ast_matchers::PortMatcher']]], + ['substituteindex_141',['SubstituteIndex',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a16cdcd5153ca3c23537b9970ba1096ce',1,'systemc_hdl::HDLConstructorHcode']]], + ['succ_5fbegin_142',['succ_begin',['../classsystemc__clang_1_1SplitCFGBlock.html#a1e8ab8d16b9c0620bee34810ab8f8d6e',1,'systemc_clang::SplitCFGBlock']]], + ['succ_5fempty_143',['succ_empty',['../classsystemc__clang_1_1SplitCFGBlock.html#a7e57f9fe0f2ac8c6c8310911505c54bb',1,'systemc_clang::SplitCFGBlock']]], + ['succ_5fend_144',['succ_end',['../classsystemc__clang_1_1SplitCFGBlock.html#aab262fc4101322b1bbe04037d019c536',1,'systemc_clang::SplitCFGBlock']]], + ['succ_5fiterator_145',['succ_iterator',['../classsystemc__clang_1_1SplitCFGBlock.html#aa4bb354ee3297f3236f6cb523fbc9b3e',1,'systemc_clang::SplitCFGBlock']]], + ['succ_5fiterator_5frange_146',['succ_iterator_range',['../classsystemc__clang_1_1SplitCFGBlock.html#a70ed4a414aab22df96b461ee5f73a2b2',1,'systemc_clang::SplitCFGBlock']]], + ['successoriterator_147',['SuccessorIterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html',1,'systemc_clang::SplitCFGBlock::SuccessorIterator'],['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#adabdfd132e509950e05c308051968f38',1,'systemc_clang::SplitCFGBlock::SuccessorIterator::SuccessorIterator()']]], + ['successors_5f_148',['successors_',['../classsystemc__clang_1_1SplitCFGBlock.html#ac96deb5f74e80b56f48905bb8f9208c7',1,'systemc_clang::SplitCFGBlock']]], + ['succs_5f_149',['succs_',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ad6649db3e44d213822d7576796453fc6',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], + ['suffix_150',['suffix',['../classparselib_1_1primitives_1_1TypeContext.html#a79b971bea16103a8b36c771a46f06775',1,'parselib::primitives::TypeContext']]], + ['supplementaryinfo_151',['SupplementaryInfo',['../structsystemc__clang_1_1SupplementaryInfo.html',1,'systemc_clang::SupplementaryInfo'],['../structsystemc__clang_1_1SupplementaryInfo.html#a09699789e9bfd1b52861911d870d5b51',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SplitCFGBlock *block)'],['../structsystemc__clang_1_1SupplementaryInfo.html#a7ea97abc86692b2433eedbbd023da7ef',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SupplementaryInfo &from)']]], + ['suscfg_152',['SusCFG',['../classsystemc__clang_1_1SusCFG.html',1,'systemc_clang::SusCFG'],['../classsystemc__clang_1_1SusCFG.html#a225702c64f53648159228f3bd9e94a8a',1,'systemc_clang::SusCFG::SusCFG()']]], + ['suscfgblockgpumacromaptype_153',['susCFGBlockGPUMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8ca0316fd4fda238606b553599b8dc30',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['suscfgblockgpumacropairtype_154',['susCFGBlockGPUMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a2f9b7871067b03ea5547a17276215c19',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['suscfgstatemap_155',['susCFGStateMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a6867fb869f7dc5ff46912696f2a7c64a',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgstatemaptype_156',['susCFGStateMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#a8f0411d5792411b0880a2f09518f2f60',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgstatepairtype_157',['susCFGStatePairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a708834a26344c855135794d101fbdefd',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgsuccidmap_158',['susCFGSuccIDMap',['../classsystemc__clang_1_1SuspensionAutomata.html#ad9ba3ec9309524453b635103a7719615',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgsuccidmaptype_159',['susCFGSuccIDMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#a2a59fa438269b95d5ee2325227acd9de',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgsuccidpairtype_160',['susCFGSuccIDPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a4b8211c2e8ecb8bbd2c741f93139aeb8',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgvectortype_161',['susCFGVectorType',['../classsystemc__clang_1_1SuspensionAutomata.html#a6fa9a0e011758e6d0dc20b438a97f25c',1,'systemc_clang::SuspensionAutomata']]], + ['suspensionautomata_162',['SuspensionAutomata',['../classsystemc__clang_1_1SuspensionAutomata.html',1,'systemc_clang::SuspensionAutomata'],['../classsystemc__clang_1_1SuspensionAutomata.html#a111035acf37a142a8b80d6c0294d3df7',1,'systemc_clang::SuspensionAutomata::SuspensionAutomata()']]], + ['suspensionautomata_2ecpp_163',['SuspensionAutomata.cpp',['../SuspensionAutomata_8cpp.html',1,'']]], + ['suspensionautomata_2eh_164',['SuspensionAutomata.h',['../SuspensionAutomata_8h.html',1,'']]], + ['switchbody_165',['switchbody',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab0535be066d9e5a5a8c4f710425a4b25',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['switchcond_166',['switchcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3359e6ee33e89bd2b08711d80332a68c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['switchstmt_167',['switchstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca0642ed56e47ed219f77dfd41d922f3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['syscread_168',['syscread',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a3df55289bf7e7dec1f841ab36ffe8bb7',1,'parselib.transforms.alias_translation.AliasTranslation.syscread()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a94583965f34d70d8c9c4fcfd0f9d1c94',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.syscread(self, tree)']]], + ['syscwrite_169',['syscwrite',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab47e4d58cee4414d95fbca7aa106c676',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['systemc_170',['systemc',['../namespacesystemc.html',1,'']]], + ['systemc_20clang_20is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_171',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['systemc_20constructs_20built_20using_20clang_172',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['systemc_2dclang_173',['systemc-clang',['../namespacesystemc-clang.html',1,'']]], + ['systemc_2dclang_2epy_174',['systemc-clang.py',['../systemc-clang_8py.html',1,'']]], + ['systemc_5fclang_175',['systemc_clang',['../namespacesystemc__clang.html',1,'']]], + ['systemc_5fclang_3a_3autils_176',['utils',['../namespacesystemc__clang_1_1utils.html',1,'systemc_clang']]], + ['systemc_5fclang_3a_3autils_3a_3aapint_177',['apint',['../namespacesystemc__clang_1_1utils_1_1apint.html',1,'systemc_clang::utils']]], + ['systemc_5fclang_5fbinary_178',['systemc_clang_binary',['../classsystemc-clang_1_1SystemCClang.html#a49f1bc53f376bd0b2405fee88e4732a8',1,'systemc-clang.SystemCClang.systemc_clang_binary'],['../classsystemc-clang_1_1SystemCClang.html#acc59bd05e9e0b93c5c7f0be2c594551a',1,'systemc-clang.SystemCClang.systemc_clang_binary(self)']]], + ['systemc_5fclang_5fbuild_5fdir_179',['systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a5dbab16a56f6cabe9a020576b0cd9525',1,'systemc-clang::SystemCClang']]], + ['systemc_5fhdl_180',['systemc_hdl',['../namespacesystemc__hdl.html',1,'']]], + ['systemc_5finc_5fdir_181',['systemc_inc_dir',['../classsystemc-clang_1_1SystemCClang.html#a197367fbf7e6fc1a2bd64c594562e29b',1,'systemc-clang::SystemCClang']]], + ['systemc_5fmodel_5f_182',['systemc_model_',['../classsystemc__clang_1_1SystemCConsumer.html#a9b479529e66790c1ff22460dfcff871f',1,'systemc_clang::SystemCConsumer']]], + ['systemc_5fpath_183',['systemc_path',['../classsystemc-clang_1_1SystemCClang.html#a97d9c2fdeb4630bdc9e874333d81de9d',1,'systemc-clang.SystemCClang.systemc_path'],['../classsystemc-clang_1_1SystemCClang.html#af320f0136cad369845cc0c8cb921d587',1,'systemc-clang.SystemCClang.systemc_path(self)']]], + ['systemcclang_184',['SystemCClang',['../classsystemc-clang_1_1SystemCClang.html',1,'systemc-clang.SystemCClang'],['../classsystemc__clang_1_1SystemCClang.html',1,'systemc_clang::SystemCClang'],['../classsystemc__clang_1_1SystemCClang.html#af9fc1d3261451ffee95f10351d033919',1,'systemc_clang::SystemCClang::SystemCClang()']]], + ['systemcclang_2ecpp_185',['SystemCClang.cpp',['../SystemCClang_8cpp.html',1,'']]], + ['systemcclang_2eh_186',['SystemCClang.h',['../SystemCClang_8h.html',1,'']]], + ['systemcclangaxn_187',['SystemCClangAXN',['../classsystemc__clang_1_1SystemCClangAXN.html',1,'systemc_clang::SystemCClangAXN'],['../classsystemc__clang_1_1SystemCClangAXN.html#ac69766a7761fbfef6fc497ac7e0b2643',1,'systemc_clang::SystemCClangAXN::SystemCClangAXN()']]], + ['systemcclangfatalerror_188',['SystemCClangFatalError',['../classsystemc-clang_1_1SystemCClangFatalError.html',1,'systemc-clang']]], + ['systemcconsumer_189',['SystemCConsumer',['../classsystemc__clang_1_1SystemCConsumer.html',1,'systemc_clang::SystemCConsumer'],['../classsystemc__clang_1_1SystemCConsumer.html#a7329006e29dd8aaade834857342ca945',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::CompilerInstance &, std::string top="!none")'],['../classsystemc__clang_1_1SystemCConsumer.html#a8144d02a15221bb1e3809835c566e73d',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::ASTUnit *from_ast, std::string top="!none")']]], + ['sz_190',['sz',['../classparselib_1_1primitives_1_1array.html#af0808720fab5cd3080c00ae0da5d64c7',1,'parselib::primitives::array']]] ]; diff --git a/search/all_12.js b/search/all_12.js index 2caa3884..9feed4da 100644 --- a/search/all_12.js +++ b/search/all_12.js @@ -8,65 +8,67 @@ var searchData= ['templateparametersmatcher_5',['TemplateParametersMatcher',['../classTemplateParametersMatcher.html',1,'']]], ['templateparametersmatcher_2eh_6',['TemplateParametersMatcher.h',['../TemplateParametersMatcher_8h.html',1,'']]], ['templatetype_7',['TemplateType',['../classsystemc__clang_1_1TemplateType.html',1,'systemc_clang::TemplateType'],['../classsystemc__clang_1_1TemplateType.html#a37fd7c2ea13b30a7788f4872102b978d',1,'systemc_clang::TemplateType::TemplateType()'],['../classsystemc__clang_1_1TemplateType.html#a4abdddeefdd160e4c53d9c67c4e44cb8',1,'systemc_clang::TemplateType::TemplateType(std::string, const clang::Type *)'],['../classsystemc__clang_1_1TemplateType.html#a0b61e391693d213e51eb707e0542efcc',1,'systemc_clang::TemplateType::TemplateType(const TemplateType &)']]], - ['templatetypeptr_8',['TemplateTypePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a36fe33fbaeabb402ed67ab84bfb284c0',1,'systemc_clang::FindTemplateTypes']]], - ['terminator_5fhas_5fbreak_5f_9',['terminator_has_break_',['../classsystemc__clang_1_1SplitCFGBlock.html#a772fac7440ee0779402916b595a2cb7c',1,'systemc_clang::SplitCFGBlock']]], - ['terminator_5fhas_5fwait_5f_10',['terminator_has_wait_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab52fd9c5a0ccbcdb63ce5e0bca38d2d2',1,'systemc_clang::SplitCFGBlock']]], - ['testing_2eh_11',['Testing.h',['../Testing_8h.html',1,'']]], - ['thismode_12',['thismode',['../classsystemc__hdl_1_1HDLBody.html#a1a31c1732af3f4975d36b457bab8be77',1,'systemc_hdl::HDLBody::thismode'],['../classsystemc__hdl_1_1StmtVisitor.html#a01e7b3a0966d6062cf883aef5c78c5d4',1,'systemc_hdl::StmtVisitor::thismode']]], - ['thread_13',['THREAD',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138a57f8b9e1bf05e9058d703c68ac2d53b0',1,'systemc_clang']]], - ['thread_5fcomb_14',['thread_comb',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae7421797fb55ee27d0641c26da9e2a5c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['thread_5fname_15',['thread_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5046e370fe49bdfaefdef8c0d7ad2af5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['thread_5fvname_5fmap_16',['thread_vname_map',['../classsystemc__hdl_1_1HDLThread.html#af41dd2020c801308c2c00ba7d375e5e2',1,'systemc_hdl::HDLThread']]], - ['threadresetmap_17',['threadresetmap',['../classsystemc__hdl_1_1HDLMain.html#ab3a3c16ef6e852cac81805235543cffe',1,'systemc_hdl::HDLMain']]], - ['tidify_18',['tidify',['../namespaceparselib_1_1utils.html#a9a74928a3f0e800f1532a11108c1bc42',1,'parselib::utils']]], - ['timeadvancevectortype_19',['timeAdvanceVectorType',['../classsystemc__clang_1_1Edge.html#a4aa1a27ab14ccfe1b547fd9546926858',1,'systemc_clang::Edge']]], - ['timeanalysis_20',['TimeAnalysis',['../classTimeAnalysis.html',1,'TimeAnalysis'],['../classTimeAnalysis.html#a813fbadb51e9cb08ca858ddaa75c8b25',1,'TimeAnalysis::TimeAnalysis()']]], - ['timeanalysis_2eh_21',['TimeAnalysis.h',['../TimeAnalysis_8h.html',1,'']]], - ['timepairtype_22',['timePairType',['../classsystemc__clang_1_1Edge.html#ac7df506142cb6616af813b07f4d61689',1,'systemc_clang::Edge::timePairType'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad5b1dd2561dc533cb37ffa28c36c42e4',1,'systemc_clang::GlobalSuspensionAutomata::timePairType']]], - ['to_5fstr_23',['to_str',['../classparselib_1_1compound_1_1aggregate.html#abf98f9e4eb4d17ccda58ef47195b9021',1,'parselib.compound.aggregate.to_str()'],['../classparselib_1_1primitives_1_1sc__in.html#a0cbd887138c1684f0787e33b7d6ca8cf',1,'parselib.primitives.sc_in.to_str()'],['../classparselib_1_1primitives_1_1____func__inout.html#a33d0bb58e03c378c8f7b2ed790f696b7',1,'parselib.primitives.__func_inout.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aef3723e590950f105201532fac3bbcc4',1,'parselib.primitives.sc_rvd_out.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a676ab59bd13fbd0d8aea1d347a74260d',1,'parselib.primitives.sc_rvd_in.to_str()'],['../classparselib_1_1primitives_1_1sc__out.html#a38394282cc0279e7dbace0c2c1a52bcf',1,'parselib.primitives.sc_out.to_str()'],['../classparselib_1_1primitives_1_1sc__bv.html#a24c5a18531cdc1f00fa3d065b9606520',1,'parselib.primitives.sc_bv.to_str()'],['../classparselib_1_1primitives_1_1sc__uint.html#af3e0b30a00c97a7f8f1ecef05e691fcb',1,'parselib.primitives.sc_uint.to_str()'],['../classparselib_1_1primitives_1_1sc__int.html#a9700642f1a03892a8b0f994945b408bb',1,'parselib.primitives.sc_int.to_str()'],['../classparselib_1_1primitives_1_1void.html#af40b8b9959ebd662faee7b4f15b282b0',1,'parselib.primitives.void.to_str()'],['../classparselib_1_1primitives_1_1sc__signal.html#a4733ec325d0b86b0f47c94416ab9b7dd',1,'parselib.primitives.sc_signal.to_str()'],['../classparselib_1_1primitives_1_1array.html#abc335a47a3ea2e8d070e47173b527d04',1,'parselib.primitives.array.to_str()'],['../classparselib_1_1primitives_1_1vmodule.html#a2253fab58c5423ccc3376bf555a612ad',1,'parselib.primitives.vmodule.to_str()']]], - ['to_5fstring_24',['to_string',['../namespacesystemc__clang.html#a1b591007cd72b04c83e568db359ca573',1,'systemc_clang']]], - ['top_5f_25',['top_',['../classsc__ast__matchers_1_1NetlistMatcher.html#a72c9e9aa2f0f6ba691f05c5c624bdcb2',1,'sc_ast_matchers::NetlistMatcher::top_'],['../classsystemc__clang_1_1SystemCClangAXN.html#a1ea04d6008f0e86535619a6c2967f042',1,'systemc_clang::SystemCClangAXN::top_'],['../classsystemc__clang_1_1SystemCConsumer.html#a75c11443ed0a5ae9924fbd00f84d115a',1,'systemc_clang::SystemCConsumer::top_'],['../classsystemc__hdl_1_1HDLAXN.html#ad6701ec48b8ffde7286e8177ba524f93',1,'systemc_hdl::HDLAXN::top_']]], - ['top_5fdown_2epy_26',['top_down.py',['../top__down_8py.html',1,'']]], - ['top_5fmodule_5fdeclaration_5f_27',['top_module_declaration_',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a1088dc7c22e5300b25c1fd2cb23325f2',1,'systemc_hdl::HDLFrontendActionFactory']]], - ['topdown_28',['TopDown',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html',1,'parselib::transforms::top_down']]], - ['tostring_29',['toString',['../classsystemc__clang_1_1TemplateType.html#a32bccb115bc0f74aaad1b0ac624a3f35',1,'systemc_clang::TemplateType::toString()'],['../classsystemc__clang_1_1PortBinding.html#a356024c2075154042aeb19be51dbd197',1,'systemc_clang::PortBinding::toString()'],['../classsystemc__clang_1_1TreeNode.html#af753d344aa7c5f1a8cfdc63ed88714b9',1,'systemc_clang::TreeNode::toString()'],['../namespacesystemc__clang_1_1utils_1_1apint.html#ad2f88d2545e73578964e532225cf3934',1,'systemc_clang::utils::apint::toString()']]], - ['tostringfalsepath_30',['toStringFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a99aac96e9e222cc5526c367dc5fd7801',1,'systemc_clang::SplitCFGPathInfo']]], - ['tostringtruepath_31',['toStringTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad7b78e74f8e5d97e87213f22851b786b',1,'systemc_clang::SplitCFGPathInfo']]], - ['transition_32',['Transition',['../classsystemc__clang_1_1Transition.html',1,'systemc_clang::Transition'],['../classsystemc__clang_1_1Transition.html#a73b44b2338b11807f77b620a3e810f92',1,'systemc_clang::Transition::Transition()']]], - ['transitiontimemaptype_33',['transitionTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a63401a9884f8e9615b695b1dc9555b26',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['transitiontimepairtype_34',['transitionTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afc94bfa7f93a5a0fb01483dea38046c6',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['transitionvectortype_35',['transitionVectorType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a86977643d2ad2505b98f5fa2f412a1ed',1,'systemc_clang::GlobalSuspensionAutomata::transitionVectorType'],['../classsystemc__clang_1_1SuspensionAutomata.html#a37823cbaa98336114b95ee6f8258979f',1,'systemc_clang::SuspensionAutomata::transitionVectorType']]], - ['translate_36',['translate',['../classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html#a2eec2d3df7b1fd19d194a337ea81a973',1,'parselib::transforms::passes::VerilogTranslator']]], - ['translate_5ftext_37',['translate_text',['../namespacehcode2verilog.html#ae4142b98e4886e860865a8d32de62751',1,'hcode2verilog']]], - ['traversestmt_38',['TraverseStmt',['../classsystemc__hdl_1_1HDLBody.html#a74516f472ce4e35722be7643c053b7b6',1,'systemc_hdl::HDLBody']]], - ['tree_39',['Tree',['../classsystemc__clang_1_1Tree.html',1,'systemc_clang::Tree< T >'],['../classsystemc__clang_1_1Tree.html#adb24c471fe74bed42d84638c50e95b0d',1,'systemc_clang::Tree::Tree()'],['../classsystemc__clang_1_1Tree.html#aa09bb53338778de7eb9278eb34afe5be',1,'systemc_clang::Tree::Tree(const Tree &from)']]], - ['tree_2eh_40',['Tree.h',['../Tree_8h.html',1,'']]], - ['tree_3c_20systemc_5fclang_3a_3atemplatetype_20_3e_41',['Tree< systemc_clang::TemplateType >',['../classsystemc__clang_1_1Tree.html',1,'systemc_clang']]], - ['tree_5f_42',['tree_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a1f540c69d3dced2b76bfdaa84fae35ed',1,'systemc_clang::Tree::const_dft_iterator::tree_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ae35664e60155ef871652d9f88249815a',1,'systemc_clang::Tree::dft_iterator::tree_']]], - ['treedftptr_43',['TreeDFTPtr',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a29f6301c538df3c938c11369ff7019bc',1,'systemc_clang::Tree::const_dft_iterator::TreeDFTPtr'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#abd9bfb0afa194a14ea0a6030b0ac3531',1,'systemc_clang::Tree::dft_iterator::TreeDFTPtr']]], - ['treenode_44',['TreeNode',['../classsystemc__clang_1_1TreeNode.html',1,'systemc_clang::TreeNode< T >'],['../classsystemc__clang_1_1TreeNode.html#afc5dc71e550a2f1b97f31348faea0a42',1,'systemc_clang::TreeNode::TreeNode(T data)'],['../classsystemc__clang_1_1TreeNode.html#ae4df9acfad4e8734fb344bc36ac3ebbb',1,'systemc_clang::TreeNode::TreeNode(const TreeNode &from)']]], - ['treenode_3c_20systemc_5fclang_3a_3atemplatetype_20_3e_45',['TreeNode< systemc_clang::TemplateType >',['../classsystemc__clang_1_1TreeNode.html',1,'systemc_clang']]], - ['treenodeptr_46',['TreeNodePtr',['../classsystemc__clang_1_1Tree.html#ae2699cb6a66a0af9debdc1a17d7403f3',1,'systemc_clang::Tree']]], - ['true_5fpath_5f_47',['true_path_',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a04292b20222d153484b04c115ea4fc73',1,'systemc_clang::SplitCFGPathInfo']]], - ['tutil_48',['tutil',['../classHDLType.html#a8f161eb374dfcbd7b0c32626d521b324',1,'HDLType']]], - ['twonodepairtype_49',['twoNodePairType',['../classsystemc__clang_1_1Graph.html#a9c540d3b0c52a6759ad3c6e10752255d',1,'systemc_clang::Graph']]], - ['type_5fcollector_2epy_50',['type_collector.py',['../type__collector_8py.html',1,'']]], - ['type_5fdecl_51',['type_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ab09f623c60a3aed02d2648512beca6e1',1,'sc_ast_matchers::ModuleInstanceType']]], - ['type_5fname_52',['type_name',['../classparselib_1_1primitives_1_1vmodule.html#a794aa548e74d218e7aa8a17bed501fb8',1,'parselib::primitives::vmodule']]], - ['type_5fname_5f_53',['type_name_',['../classsystemc__clang_1_1TemplateType.html#a056a0a4453a2395e722e9ac87af483a6',1,'systemc_clang::TemplateType']]], - ['type_5fnode_2epy_54',['type_node.py',['../type__node_8py.html',1,'']]], - ['type_5fparam_5fnames_55',['type_param_names',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a7f63b3be370bf52e5a8d9aae3d65b7e8',1,'parselib::transforms::node::TypeDefType']]], - ['type_5fptr_5f_56',['type_ptr_',['../classsystemc__clang_1_1TemplateType.html#aed32832c653df6e1b894e21ee10dd613',1,'systemc_clang::TemplateType']]], - ['type_5fvector_5ft_57',['type_vector_t',['../classsystemc__clang_1_1FindTemplateTypes.html#a7aca95e84873d0dd891c2dc53c492cfd',1,'systemc_clang::FindTemplateTypes']]], - ['typecollector_58',['TypeCollector',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html',1,'parselib::transforms::type_collector']]], - ['typecontext_59',['TypeContext',['../classparselib_1_1primitives_1_1TypeContext.html',1,'parselib::primitives']]], - ['typedef_5fexpansion_2epy_60',['typedef_expansion.py',['../typedef__expansion_8py.html',1,'']]], - ['typedef_5ffilter_2epy_61',['typedef_filter.py',['../typedef__filter_8py.html',1,'']]], - ['typedefcleanup_62',['TypeDefCleanup',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html',1,'parselib::transforms::typedef_filter']]], - ['typedefexpansion_63',['TypedefExpansion',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html',1,'parselib::transforms::typedef_expansion']]], - ['typedeffilter_64',['TypeDefFilter',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html',1,'parselib::transforms::typedef_filter']]], - ['typedeftype_65',['TypeDefType',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html',1,'parselib::transforms::node']]], - ['typenode_66',['TypeNode',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html',1,'parselib::transforms::type_node']]], - ['types_67',['types',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a17e7f2f395a64011f0dd9c76082c8d02',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a8ac2093c84eed1913be9d4a7348473e7',1,'parselib.transforms.typedef_filter.TypeDefFilter.types']]], - ['types_5fseen_68',['types_seen',['../classhnode_1_1util.html#a2eb6cdcc2e5d86e046f47ff9beb00ca3',1,'hnode::util']]] + ['templatetypeptr_8',['TemplateTypePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a457745124c9f184d5ed7e205c755ecdb',1,'systemc_clang::FindTemplateTypes']]], + ['terminate_5fwith_5fno_5ftrace_9',['terminate_with_no_trace',['../namespaceparselib_1_1utils.html#a479a84925ecbe28c6d36885b486418f2',1,'parselib::utils']]], + ['terminator_5fhas_5fbreak_5f_10',['terminator_has_break_',['../classsystemc__clang_1_1SplitCFGBlock.html#a772fac7440ee0779402916b595a2cb7c',1,'systemc_clang::SplitCFGBlock']]], + ['terminator_5fhas_5fwait_5f_11',['terminator_has_wait_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab52fd9c5a0ccbcdb63ce5e0bca38d2d2',1,'systemc_clang::SplitCFGBlock']]], + ['testing_2eh_12',['Testing.h',['../Testing_8h.html',1,'']]], + ['thismode_13',['thismode',['../classsystemc__hdl_1_1HDLBody.html#a1a31c1732af3f4975d36b457bab8be77',1,'systemc_hdl::HDLBody::thismode'],['../classsystemc__hdl_1_1StmtVisitor.html#a01e7b3a0966d6062cf883aef5c78c5d4',1,'systemc_hdl::StmtVisitor::thismode']]], + ['thread_14',['THREAD',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138a57f8b9e1bf05e9058d703c68ac2d53b0',1,'systemc_clang']]], + ['thread_5fcomb_15',['thread_comb',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a51ca707bb031c95d9b811bb1d4d783cc',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['thread_5fname_16',['thread_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5046e370fe49bdfaefdef8c0d7ad2af5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['thread_5fvname_5fmap_17',['thread_vname_map',['../classsystemc__hdl_1_1HDLThread.html#af41dd2020c801308c2c00ba7d375e5e2',1,'systemc_hdl::HDLThread']]], + ['threadresetmap_18',['threadresetmap',['../classsystemc__hdl_1_1HDLMain.html#ab3a3c16ef6e852cac81805235543cffe',1,'systemc_hdl::HDLMain']]], + ['tidify_19',['tidify',['../namespaceparselib_1_1utils.html#a9a74928a3f0e800f1532a11108c1bc42',1,'parselib::utils']]], + ['timeadvancevectortype_20',['timeAdvanceVectorType',['../classsystemc__clang_1_1Edge.html#a46ba19ec7122fdf12adab4e7c7bb9ab1',1,'systemc_clang::Edge']]], + ['timeanalysis_21',['TimeAnalysis',['../classTimeAnalysis.html',1,'TimeAnalysis'],['../classTimeAnalysis.html#a813fbadb51e9cb08ca858ddaa75c8b25',1,'TimeAnalysis::TimeAnalysis()']]], + ['timeanalysis_2eh_22',['TimeAnalysis.h',['../TimeAnalysis_8h.html',1,'']]], + ['timepairtype_23',['timePairType',['../classsystemc__clang_1_1Edge.html#ad04ecf3ab7011a8e5b429b3f4785dfa8',1,'systemc_clang::Edge::timePairType'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad7c43b89d1046ccf4ad2866c61a1d9cf',1,'systemc_clang::GlobalSuspensionAutomata::timePairType']]], + ['to_5fstr_24',['to_str',['../classparselib_1_1compound_1_1aggregate.html#abf98f9e4eb4d17ccda58ef47195b9021',1,'parselib.compound.aggregate.to_str()'],['../classparselib_1_1primitives_1_1sc__in.html#a0cbd887138c1684f0787e33b7d6ca8cf',1,'parselib.primitives.sc_in.to_str()'],['../classparselib_1_1primitives_1_1____func__inout.html#a33d0bb58e03c378c8f7b2ed790f696b7',1,'parselib.primitives.__func_inout.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aef3723e590950f105201532fac3bbcc4',1,'parselib.primitives.sc_rvd_out.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a676ab59bd13fbd0d8aea1d347a74260d',1,'parselib.primitives.sc_rvd_in.to_str()'],['../classparselib_1_1primitives_1_1sc__out.html#a38394282cc0279e7dbace0c2c1a52bcf',1,'parselib.primitives.sc_out.to_str()'],['../classparselib_1_1primitives_1_1sc__bv.html#a24c5a18531cdc1f00fa3d065b9606520',1,'parselib.primitives.sc_bv.to_str()'],['../classparselib_1_1primitives_1_1sc__uint.html#af3e0b30a00c97a7f8f1ecef05e691fcb',1,'parselib.primitives.sc_uint.to_str()'],['../classparselib_1_1primitives_1_1sc__int.html#a9700642f1a03892a8b0f994945b408bb',1,'parselib.primitives.sc_int.to_str()'],['../classparselib_1_1primitives_1_1void.html#af40b8b9959ebd662faee7b4f15b282b0',1,'parselib.primitives.void.to_str()'],['../classparselib_1_1primitives_1_1sc__signal.html#a4733ec325d0b86b0f47c94416ab9b7dd',1,'parselib.primitives.sc_signal.to_str()'],['../classparselib_1_1primitives_1_1array.html#abc335a47a3ea2e8d070e47173b527d04',1,'parselib.primitives.array.to_str()'],['../classparselib_1_1primitives_1_1vmodule.html#a2253fab58c5423ccc3376bf555a612ad',1,'parselib.primitives.vmodule.to_str()']]], + ['to_5fstring_25',['to_string',['../namespacesystemc__clang.html#a1b591007cd72b04c83e568db359ca573',1,'systemc_clang']]], + ['top_5f_26',['top_',['../classsc__ast__matchers_1_1NetlistMatcher.html#a72c9e9aa2f0f6ba691f05c5c624bdcb2',1,'sc_ast_matchers::NetlistMatcher::top_'],['../classsystemc__clang_1_1SystemCClangAXN.html#a1ea04d6008f0e86535619a6c2967f042',1,'systemc_clang::SystemCClangAXN::top_'],['../classsystemc__clang_1_1SystemCConsumer.html#a75c11443ed0a5ae9924fbd00f84d115a',1,'systemc_clang::SystemCConsumer::top_'],['../classsystemc__hdl_1_1HDLAXN.html#ad6701ec48b8ffde7286e8177ba524f93',1,'systemc_hdl::HDLAXN::top_']]], + ['top_5fdown_2epy_27',['top_down.py',['../top__down_8py.html',1,'']]], + ['top_5fmodule_5fdeclaration_5f_28',['top_module_declaration_',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a1088dc7c22e5300b25c1fd2cb23325f2',1,'systemc_hdl::HDLFrontendActionFactory']]], + ['topdown_29',['TopDown',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html',1,'parselib::transforms::top_down']]], + ['tostring_30',['toString',['../classsystemc__clang_1_1TemplateType.html#a32bccb115bc0f74aaad1b0ac624a3f35',1,'systemc_clang::TemplateType::toString()'],['../classsystemc__clang_1_1PortBinding.html#a356024c2075154042aeb19be51dbd197',1,'systemc_clang::PortBinding::toString()'],['../classsystemc__clang_1_1TreeNode.html#af753d344aa7c5f1a8cfdc63ed88714b9',1,'systemc_clang::TreeNode::toString()'],['../namespacesystemc__clang_1_1utils_1_1apint.html#ad2f88d2545e73578964e532225cf3934',1,'systemc_clang::utils::apint::toString()']]], + ['tostringfalsepath_31',['toStringFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a99aac96e9e222cc5526c367dc5fd7801',1,'systemc_clang::SplitCFGPathInfo']]], + ['tostringtruepath_32',['toStringTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad7b78e74f8e5d97e87213f22851b786b',1,'systemc_clang::SplitCFGPathInfo']]], + ['transition_33',['Transition',['../classsystemc__clang_1_1Transition.html',1,'systemc_clang::Transition'],['../classsystemc__clang_1_1Transition.html#a73b44b2338b11807f77b620a3e810f92',1,'systemc_clang::Transition::Transition()']]], + ['transitiontimemaptype_34',['transitionTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5e1b142b0478bd0274dac25d2ddf5803',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['transitiontimepairtype_35',['transitionTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a038a060a9099cf57e95dc4ae6af7be04',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['transitionvectortype_36',['transitionVectorType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8937e8d819db81449b75da053f8905da',1,'systemc_clang::GlobalSuspensionAutomata::transitionVectorType'],['../classsystemc__clang_1_1SuspensionAutomata.html#a9cbc1cd5b82b9444b4f6f9fb0458de0e',1,'systemc_clang::SuspensionAutomata::transitionVectorType']]], + ['translate_37',['translate',['../classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html#a2eec2d3df7b1fd19d194a337ea81a973',1,'parselib::transforms::passes::VerilogTranslator']]], + ['translate_5ftext_38',['translate_text',['../namespacehcode2verilog.html#ae4142b98e4886e860865a8d32de62751',1,'hcode2verilog']]], + ['traversestmt_39',['TraverseStmt',['../classsystemc__hdl_1_1HDLBody.html#a74516f472ce4e35722be7643c053b7b6',1,'systemc_hdl::HDLBody']]], + ['tree_40',['Tree',['../classsystemc__clang_1_1Tree.html',1,'systemc_clang::Tree< T >'],['../classsystemc__clang_1_1Tree.html#adb24c471fe74bed42d84638c50e95b0d',1,'systemc_clang::Tree::Tree()'],['../classsystemc__clang_1_1Tree.html#aa09bb53338778de7eb9278eb34afe5be',1,'systemc_clang::Tree::Tree(const Tree &from)']]], + ['tree_2eh_41',['Tree.h',['../Tree_8h.html',1,'']]], + ['tree_3c_20systemc_5fclang_3a_3atemplatetype_20_3e_42',['Tree< systemc_clang::TemplateType >',['../classsystemc__clang_1_1Tree.html',1,'systemc_clang']]], + ['tree_5f_43',['tree_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a1f540c69d3dced2b76bfdaa84fae35ed',1,'systemc_clang::Tree::const_dft_iterator::tree_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ae35664e60155ef871652d9f88249815a',1,'systemc_clang::Tree::dft_iterator::tree_']]], + ['treedftptr_44',['TreeDFTPtr',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a30026aaea8aac8987d9650322413a916',1,'systemc_clang::Tree::const_dft_iterator::TreeDFTPtr'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#acbd0d55cdd7da6d772e9b16fbf238653',1,'systemc_clang::Tree::dft_iterator::TreeDFTPtr']]], + ['treenode_45',['TreeNode',['../classsystemc__clang_1_1TreeNode.html',1,'systemc_clang::TreeNode< T >'],['../classsystemc__clang_1_1TreeNode.html#afc5dc71e550a2f1b97f31348faea0a42',1,'systemc_clang::TreeNode::TreeNode(T data)'],['../classsystemc__clang_1_1TreeNode.html#ae4df9acfad4e8734fb344bc36ac3ebbb',1,'systemc_clang::TreeNode::TreeNode(const TreeNode &from)']]], + ['treenode_3c_20systemc_5fclang_3a_3atemplatetype_20_3e_46',['TreeNode< systemc_clang::TemplateType >',['../classsystemc__clang_1_1TreeNode.html',1,'systemc_clang']]], + ['treenodeptr_47',['TreeNodePtr',['../classsystemc__clang_1_1Tree.html#abacfbb0b1213f0a366b23e23b5e498ca',1,'systemc_clang::Tree']]], + ['true_5fpath_5f_48',['true_path_',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a04292b20222d153484b04c115ea4fc73',1,'systemc_clang::SplitCFGPathInfo']]], + ['tutil_49',['tutil',['../classHDLType.html#a8f161eb374dfcbd7b0c32626d521b324',1,'HDLType']]], + ['twonodepairtype_50',['twoNodePairType',['../classsystemc__clang_1_1Graph.html#ae46c0b0e254d4a964de92182094bc497',1,'systemc_clang::Graph']]], + ['type_51',['type',['../classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html#abd22edde1e95737d30dc7c68aa5902d5',1,'parselib::transforms::interface_generation::PortDecl']]], + ['type_5fcollector_2epy_52',['type_collector.py',['../type__collector_8py.html',1,'']]], + ['type_5fdecl_53',['type_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ab09f623c60a3aed02d2648512beca6e1',1,'sc_ast_matchers::ModuleInstanceType']]], + ['type_5fname_54',['type_name',['../classparselib_1_1primitives_1_1vmodule.html#a794aa548e74d218e7aa8a17bed501fb8',1,'parselib::primitives::vmodule']]], + ['type_5fname_5f_55',['type_name_',['../classsystemc__clang_1_1TemplateType.html#a056a0a4453a2395e722e9ac87af483a6',1,'systemc_clang::TemplateType']]], + ['type_5fnode_2epy_56',['type_node.py',['../type__node_8py.html',1,'']]], + ['type_5fparam_5fnames_57',['type_param_names',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a7f63b3be370bf52e5a8d9aae3d65b7e8',1,'parselib::transforms::node::TypeDefType']]], + ['type_5fptr_5f_58',['type_ptr_',['../classsystemc__clang_1_1TemplateType.html#aed32832c653df6e1b894e21ee10dd613',1,'systemc_clang::TemplateType']]], + ['type_5fvector_5ft_59',['type_vector_t',['../classsystemc__clang_1_1FindTemplateTypes.html#a9305c1a24eca28608e40f47aedf5efa5',1,'systemc_clang::FindTemplateTypes']]], + ['typecollector_60',['TypeCollector',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html',1,'parselib::transforms::type_collector']]], + ['typecontext_61',['TypeContext',['../classparselib_1_1primitives_1_1TypeContext.html',1,'parselib::primitives']]], + ['typedef_5fexpansion_2epy_62',['typedef_expansion.py',['../typedef__expansion_8py.html',1,'']]], + ['typedef_5ffilter_2epy_63',['typedef_filter.py',['../typedef__filter_8py.html',1,'']]], + ['typedefcleanup_64',['TypeDefCleanup',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html',1,'parselib::transforms::typedef_filter']]], + ['typedefexpansion_65',['TypedefExpansion',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html',1,'parselib::transforms::typedef_expansion']]], + ['typedeffilter_66',['TypeDefFilter',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html',1,'parselib::transforms::typedef_filter']]], + ['typedeftype_67',['TypeDefType',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html',1,'parselib::transforms::node']]], + ['typenode_68',['TypeNode',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html',1,'parselib::transforms::type_node']]], + ['types_69',['types',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a922cc98d175c4ca327421f745604fc07',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ac18b59b36a36564e2da8d8ef8dd597fa',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a074a738ed41ae9fbe77594bc49b4d75f',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a4755dbdda4c8f80dba6e804be74f0500',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a8ac2093c84eed1913be9d4a7348473e7',1,'parselib.transforms.typedef_filter.TypeDefFilter.types']]], + ['types_5fseen_70',['types_seen',['../classhnode_1_1util.html#a2eb6cdcc2e5d86e046f47ff9beb00ca3',1,'hnode::util']]] ]; diff --git a/search/all_13.js b/search/all_13.js index 67578c72..6c689789 100644 --- a/search/all_13.js +++ b/search/all_13.js @@ -14,11 +14,11 @@ var searchData= ['updatesuspensiontime_11',['updateSuspensionTime',['../classsystemc__clang_1_1Edge.html#a8b75a2cf256c99730cf755325cf9ec73',1,'systemc_clang::Edge']]], ['updatetransitiontime_12',['updateTransitionTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afb504ec049dce4f340d6ee44de5d096f',1,'systemc_clang::GlobalSuspensionAutomata']]], ['updatevisitedblocks_13',['updateVisitedBlocks',['../classsystemc__clang_1_1SplitCFG.html#a450737d7dafd56979d8ecc49551551ed',1,'systemc_clang::SplitCFG']]], - ['userrectype_5fmap_5ft_14',['userrectype_map_t',['../classHDLType.html#af9ac9be87d59969e3f75f5a44a4aada7',1,'HDLType']]], + ['userrectype_5fmap_5ft_14',['userrectype_map_t',['../classHDLType.html#a2f25d18d375894a1c3adfacbf6a91e8f',1,'HDLType']]], ['userrectypes_15',['userrectypes',['../structHDLType_1_1usertype__info__t.html#a6c4b5dfd2c9ce1a5ad501be48b7283f3',1,'HDLType::usertype_info_t']]], ['usertype_5finfo_16',['usertype_info',['../classHDLType.html#a51b5a73e21223fe099be3e213e742ea9',1,'HDLType']]], ['usertype_5finfo_5ft_17',['usertype_info_t',['../structHDLType_1_1usertype__info__t.html',1,'HDLType']]], - ['usertype_5fmap_5ft_18',['usertype_map_t',['../classHDLType.html#a337473de31019450dfe4ac68ccae483b',1,'HDLType']]], + ['usertype_5fmap_5ft_18',['usertype_map_t',['../classHDLType.html#a81dc731b6d185162597950b1d53fcc7c',1,'HDLType']]], ['usertypes_19',['usertypes',['../structHDLType_1_1usertype__info__t.html#a8da1507c2c2c499ddf19a2ac618ea482',1,'HDLType::usertype_info_t']]], ['using_20clang_20',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], ['util_21',['util',['../classhnode_1_1util.html',1,'hnode::util'],['../classhnode_1_1util.html#a2f939b759f2612426d6e6832256c4228',1,'hnode::util::util()']]], diff --git a/search/all_14.js b/search/all_14.js index 8910693e..ee02660d 100644 --- a/search/all_14.js +++ b/search/all_14.js @@ -3,7 +3,7 @@ var searchData= ['value_5ftype_0',['value_type',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a1ddbf1a8111917a1da32a1d4200ef4de',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], ['var_5fname_1',['var_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a3b3ac6bc7550058593b15444e3f058ce',1,'sc_ast_matchers::ModuleInstanceType']]], ['var_5ftype_5fname_2',['var_type_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a270baee7ec4b2b885ed4b765b7714062',1,'sc_ast_matchers::ModuleInstanceType']]], - ['vardecl_3',['vardecl',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ac867b0264e9eacc65e588d753c9f9b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.vardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2b1e717615a9a49cdd50a548ec223ee8',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardecl()']]], + ['vardecl_3',['vardecl',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a719c4cdc9da2c3ba92b1664f10b24ca4',1,'parselib.transforms.sensevar_movement.SensevarMovement.vardecl()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ac867b0264e9eacc65e588d753c9f9b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.vardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2b1e717615a9a49cdd50a548ec223ee8',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardecl()']]], ['vardeclinit_4',['vardeclinit',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a4a7a5f8c8f34983a6bdffe60cd0705a2',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.vardeclinit()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a9e6889a7914b0677935e7f851cf0b286',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.vardeclinit()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a037c8c2e006b84a38513e34a113862af',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardeclinit()']]], ['variable_5fbinding_5fstack_5',['variable_binding_stack',['../classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html#a934bb498b72707901b7eaa0e1c4cbc0a',1,'parselib::transforms::top_down::VariableBindings']]], ['variablebindings_6',['VariableBindings',['../classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html',1,'parselib::transforms::top_down']]], @@ -15,7 +15,7 @@ var searchData= ['vectorsplitcfgblockptr_12',['VectorSplitCFGBlockPtr',['../classsystemc__clang_1_1SplitCFGBlock.html#acf7732a4d6afdabf59227d3d5def4f34',1,'systemc_clang::SplitCFGBlock']]], ['vectorsplitcfgblockptrimpl_13',['VectorSplitCFGBlockPtrImpl',['../classsystemc__clang_1_1SplitCFGBlock.html#a94eb2dc0458606a8660d39f258beef36',1,'systemc_clang::SplitCFGBlock']]], ['vectorsuccessors_14',['VectorSuccessors',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a541b9739173fdb89b2e1d3f43bc0ce33',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['vectortreeptr_15',['VectorTreePtr',['../classsystemc__clang_1_1Tree.html#aeee87e5e2a3776887321ca75ce1d935f',1,'systemc_clang::Tree']]], + ['vectortreeptr_15',['VectorTreePtr',['../classsystemc__clang_1_1Tree.html#a5933778eff7771ebc6b15c56b7757300',1,'systemc_clang::Tree']]], ['vef_5f_16',['vef_',['../classsystemc__clang_1_1ModuleInstance.html#ac754077d1131eaeb68a799746788cb41',1,'systemc_clang::ModuleInstance']]], ['verilog_5ftranlation_2epy_17',['verilog_tranlation.py',['../verilog__tranlation_8py.html',1,'']]], ['verilogtranslationpass_18',['VerilogTranslationPass',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html',1,'parselib::transforms::verilog_tranlation']]], @@ -58,8 +58,8 @@ var searchData= ['visitstringliteral_55',['VisitStringLiteral',['../classsystemc__clang_1_1FindEntryFunctions.html#a2fadc394a413c49341bb994c4cc87891',1,'systemc_clang::FindEntryFunctions']]], ['visitswitchstmt_56',['VisitSwitchStmt',['../classsystemc__hdl_1_1HDLBody.html#a72def54e587a7d8b69bbb353359a255e',1,'systemc_hdl::HDLBody']]], ['visittemplatespecializationtype_57',['VisitTemplateSpecializationType',['../classsystemc__clang_1_1FindTemplateTypes.html#a8e2da9587a362f4ee0054cac93de48b7',1,'systemc_clang::FindTemplateTypes']]], - ['visittransitionmaptype_58',['visitTransitionMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad4740b081a0880d4735ec9e8c93354c7',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['visittransitionpairtype_59',['visitTransitionPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa98d9120219f6deb9d1c9f8bc2b03dc8',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['visittransitionmaptype_58',['visitTransitionMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a750a02e74693d5dc6e00a78a64bf0244',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['visittransitionpairtype_59',['visitTransitionPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6c3c3224c13d5f8eef0f7097c05a73c9',1,'systemc_clang::GlobalSuspensionAutomata']]], ['visittypedeftype_60',['VisitTypedefType',['../classsystemc__clang_1_1FindTemplateTypes.html#aa4c77d6733230c6b63be008a1656c3c3',1,'systemc_clang::FindTemplateTypes']]], ['visitunaryoperator_61',['VisitUnaryOperator',['../classsystemc__hdl_1_1HDLBody.html#a5ecf3838daeb7b200bbb9a86cc8b395a',1,'systemc_hdl::HDLBody']]], ['visitunresolvedmemberexpr_62',['VisitUnresolvedMemberExpr',['../classsystemc__clang_1_1FindWait.html#aecccf5fa003ab5b26bd0ba2ddacf221f',1,'systemc_clang::FindWait']]], diff --git a/search/all_15.js b/search/all_15.js index 25e0f0f4..96a5b6e4 100644 --- a/search/all_15.js +++ b/search/all_15.js @@ -6,16 +6,16 @@ var searchData= ['wait_5felement_5fids_5f_3',['wait_element_ids_',['../classsystemc__clang_1_1SplitCFGBlock.html#a35abbaa62ec33b993f7ebe20ae904892',1,'systemc_clang::SplitCFGBlock']]], ['wait_5ffound_5f_4',['wait_found_',['../classsystemc__clang_1_1BreakMatcher.html#ac64769ebe3dceffea49250262e83c899',1,'systemc_clang::BreakMatcher']]], ['wait_5fnext_5fstate_5f_5',['wait_next_state_',['../classsystemc__clang_1_1SplitCFG.html#a0b31738b0fbbb964d42c8f1b54506bb5',1,'systemc_clang::SplitCFG']]], - ['waitargvectortype_6',['waitArgVectorType',['../classsystemc__clang_1_1NotifyContainer.html#a6b94a9df734b4983af81964e08caaa73',1,'systemc_clang::NotifyContainer::waitArgVectorType'],['../classsystemc__clang_1_1WaitContainer.html#aba102638819d0f416eebf93a7ebff211',1,'systemc_clang::WaitContainer::waitArgVectorType']]], + ['waitargvectortype_6',['waitArgVectorType',['../classsystemc__clang_1_1NotifyContainer.html#a5fccf7193a4c31f7329b3896d42534ab',1,'systemc_clang::NotifyContainer::waitArgVectorType'],['../classsystemc__clang_1_1WaitContainer.html#a4cd784d1a6d757ed6698ac4675db6939',1,'systemc_clang::WaitContainer::waitArgVectorType']]], ['waitcalls_7',['WaitCalls',['../classsystemc__clang_1_1WaitCalls.html',1,'systemc_clang::WaitCalls'],['../classsystemc__clang_1_1WaitCalls.html#a263c4b3caa88473c43aff19183dbaecf',1,'systemc_clang::WaitCalls::WaitCalls()'],['../classsystemc__clang_1_1WaitCalls.html#af77f7146831ea38dc4dec599794a0a3b',1,'systemc_clang::WaitCalls::WaitCalls(const std::string &, FindWait::waitListType)'],['../classsystemc__clang_1_1WaitCalls.html#a40e296d994b3bb42fb9d9a2588dfa849',1,'systemc_clang::WaitCalls::WaitCalls(const WaitCalls &)']]], ['waitcalls_2ecpp_8',['WaitCalls.cpp',['../WaitCalls_8cpp.html',1,'']]], ['waitcalls_2eh_9',['WaitCalls.h',['../WaitCalls_8h.html',1,'']]], ['waitcontainer_10',['WaitContainer',['../classsystemc__clang_1_1WaitContainer.html',1,'systemc_clang::WaitContainer'],['../classsystemc__clang_1_1WaitContainer.html#a7df67d2907a0ff53ef9fad2c601116f7',1,'systemc_clang::WaitContainer::WaitContainer()'],['../classsystemc__clang_1_1WaitContainer.html#aa04c8d2d09cb1b7b6909881bd2ace532',1,'systemc_clang::WaitContainer::WaitContainer(CXXMethodDecl *, CallExpr *)'],['../classsystemc__clang_1_1WaitContainer.html#a90107bd90b361c348bbf0aa082037fd4',1,'systemc_clang::WaitContainer::WaitContainer(const WaitContainer &)']]], ['waitcontainer_2ecpp_11',['WaitContainer.cpp',['../WaitContainer_8cpp.html',1,'']]], ['waitcontainer_2eh_12',['WaitContainer.h',['../WaitContainer_8h.html',1,'']]], - ['waitcontainerlisttype_13',['waitContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aa0c0aeb1d99d6a6a9b534764609d32a9',1,'systemc_clang::EntryFunctionContainer']]], + ['waitcontainerlisttype_13',['waitContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae965ec99921a5bf047f22a4a36bb9b26',1,'systemc_clang::EntryFunctionContainer']]], ['waitctr_5fstring_14',['waitctr_string',['../classsystemc__hdl_1_1HDLThread.html#a6c7cf1c08a46661f996cd4233c4068dc',1,'systemc_hdl::HDLThread']]], - ['waitlisttype_15',['waitListType',['../classsystemc__clang_1_1FindWait.html#a1fd6b2134e60c643a437437ed75d765d',1,'systemc_clang::FindWait']]], + ['waitlisttype_15',['waitListType',['../classsystemc__clang_1_1FindWait.html#a19b21f3b63657f3a73fa78b8ef2f162b',1,'systemc_clang::FindWait']]], ['waitnextstate_5fstring_16',['waitnextstate_string',['../classsystemc__hdl_1_1HDLThread.html#a0b4ac089aa21ea8c0b5d38ad166e14f2',1,'systemc_hdl::HDLThread']]], ['whilestmt_17',['whilestmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aac8b2b8c5d9e99ea1ccc7cb7e5bd8511',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['width_18',['width',['../classparselib_1_1primitives_1_1sc__bv.html#a36169607b9dc7374431da70f23ebc8e2',1,'parselib.primitives.sc_bv.width'],['../classparselib_1_1primitives_1_1sc__uint.html#a0782a8a16c797604bf763c3ea1338c4b',1,'parselib.primitives.sc_uint.width'],['../classparselib_1_1primitives_1_1sc__int.html#a04a698fdedce4dea42f2312cb92e1b92',1,'parselib.primitives.sc_int.width']]], diff --git a/search/all_2.js b/search/all_2.js index 2d0da244..1b17d416 100644 --- a/search/all_2.js +++ b/search/all_2.js @@ -4,7 +4,7 @@ var searchData= ['begin_1',['begin',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a1c62d2d902903919a46793c7362e2638',1,'systemc_clang::SplitCFGBlock::SuccessorIterator::begin()'],['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a33ee6d7c9cb447fa72acb2b2af483f4d',1,'systemc_clang::Tree::const_dft_iterator::begin()'],['../classsystemc__clang_1_1Tree.html#a34f80140595c61abe8be019eb03f4c37',1,'systemc_clang::Tree::begin()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#a547f47233e52b756f5dfaec81dac8518',1,'systemc_clang::Tree::dft_iterator::begin()'],['../classsystemc__clang_1_1Tree.html#a0806752fca549d4735a633f194575d01',1,'systemc_clang::Tree::begin()'],['../classhnode_1_1newname__map__t.html#ad3c2c84c430cb2ac8c4d1f1a734456fd',1,'hnode::newname_map_t::begin()']]], ['bft_2',['bft',['../classsystemc__clang_1_1Tree.html#a6ec4b8772d874d5387536a2342214072',1,'systemc_clang::Tree']]], ['bind_3',['bind',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#a7e01a73f17d447e7f2fb97bffd3ce37e',1,'parselib::transforms::type_node::TypeNode']]], - ['bindings_4',['bindings',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ade420ad95186a35a6598f76b2f55022c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['bindings_4',['bindings',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4a1c7afa438f7e40cb87890fdca843d4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindings'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4a1c7afa438f7e40cb87890fdca843d4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindings']]], ['blkassign_5',['blkassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a1e9a66e11be21b282c824873854e758a',1,'parselib.transforms.alias_translation.AliasTranslation.blkassign()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#ac07c6d42dffbdfb2936dd01b07c30b40',1,'parselib.transforms.comma_transformation.CommaTransformation.blkassign()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a5a12db85792d528cf6c048eb365015a8',1,'parselib.transforms.function_info_pass.FunctionInfoPass.blkassign()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a02e683d50ade6e030538498a1d8cd807',1,'parselib.transforms.function_param_marker.FunctionParamMarker.blkassign()'],['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#ab4eb36ba7afa55c017d4afcb076c6388',1,'parselib.transforms.node_merge.NodeMergePass.blkassign()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3d968e1ac92edd5d27696c47f2f1431d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.blkassign()']]], ['block_5f_6',['block_',['../classsystemc__clang_1_1SplitCFGBlock.html#a6ab512e4faf89c9f48f66a6a5fcd2e4c',1,'systemc_clang::SplitCFGBlock']]], ['break_5ffound_5f_7',['break_found_',['../classsystemc__clang_1_1BreakMatcher.html#a2536c01bdd44067e953b5cd03aa90aad',1,'systemc_clang::BreakMatcher']]], diff --git a/search/all_3.js b/search/all_3.js index 7c4ee903..c9739e32 100644 --- a/search/all_3.js +++ b/search/all_3.js @@ -19,7 +19,7 @@ var searchData= ['caller_5fport_5fme_5fexpr_5f_16',['caller_port_me_expr_',['../classsystemc__clang_1_1PortBinding.html#a9af7a1acc339034ae2fb32c43b985169',1,'systemc_clang::PortBinding']]], ['caller_5fport_5fname_5f_17',['caller_port_name_',['../classsystemc__clang_1_1PortBinding.html#aae42a0a5eb8919133e7f0fe46806e18b',1,'systemc_clang::PortBinding']]], ['callercalleematcher_18',['CallerCalleeMatcher',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html',1,'sc_ast_matchers']]], - ['callercalleetype_19',['CallerCalleeType',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#a6f4bc8d4074c1bcac2b8b8bfbcb7fc97',1,'sc_ast_matchers::CallerCalleeMatcher']]], + ['callercalleetype_19',['CallerCalleeType',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#ae54f22328a74c4bfa357aefccf98b2ec',1,'sc_ast_matchers::CallerCalleeMatcher']]], ['callexprutils_2ecpp_20',['CallExprUtils.cpp',['../CallExprUtils_8cpp.html',1,'']]], ['callexprutils_2eh_21',['CallExprUtils.h',['../CallExprUtils_8h.html',1,'']]], ['calls_5f_22',['calls_',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#afa7aa80bc85ecd1c81d5aa4caa225e16',1,'sc_ast_matchers::CallerCalleeMatcher']]], @@ -34,10 +34,10 @@ var searchData= ['checkusertype_31',['checkusertype',['../classHDLType.html#ac8a8b48c5c7ff5800f952b028c136d01',1,'HDLType']]], ['checkvardecls_32',['CheckVardecls',['../classsystemc__hdl_1_1HDLThread.html#a497569e09709f7668f3c8454d02f5f72',1,'systemc_hdl::HDLThread']]], ['child_5flist_33',['child_list',['../classhnode_1_1hNode.html#a6e91af95846022a423674145de0ed949',1,'hnode::hNode']]], - ['clang_34',['clang',['../namespaceclang.html',1,'']]], + ['clang_34',['clang',['../namespaceclang.html',1,'clang'],['../index.html',1,'systemc-clang is a parser for SystemC constructs built using clang.']]], ['clang_20is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_35',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], ['class_5fdecl_5f_36',['class_decl_',['../classsystemc__clang_1_1ModuleInstance.html#a52193bb56d74ae42b832932a71be909d',1,'systemc_clang::ModuleInstance']]], - ['classeventmaptype_37',['classEventMapType',['../classsystemc__clang_1_1FindEvents.html#a493e653086359dddf25ef6afe9805b38',1,'systemc_clang::FindEvents']]], + ['classeventmaptype_37',['classEventMapType',['../classsystemc__clang_1_1FindEvents.html#a43d58ef965be54e53836e177c6907123',1,'systemc_clang::FindEvents']]], ['cleanupinithcode_38',['CleanupInitHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a68068d9877cbf140acc7d086639db591',1,'systemc_hdl::HDLConstructorHcode']]], ['clear_39',['clear',['../classhnode_1_1newname__map__t.html#aa78bee80c95dad9e48b3b21b6db63aed',1,'hnode::newname_map_t']]], ['clearonlyglobal_40',['clearOnlyGlobal',['../classsystemc__clang_1_1ModuleInstance.html#a86b734f2fda5c1ea1efc774e90282b68',1,'systemc_clang::ModuleInstance']]], @@ -47,68 +47,73 @@ var searchData= ['collect_5ftype_44',['collect_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aec4892c11552acb18150865be5c84bf3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['comma_5ftransformation_2epy_45',['comma_transformation.py',['../comma__transformation_8py.html',1,'']]], ['commatransformation_46',['CommaTransformation',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html',1,'parselib::transforms::comma_transformation']]], - ['commontimedpmaptype_47',['commonTimeDPMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a74a356cce004fe03c3bae5f854ef6e06',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['commontimedppairtype_48',['commonTimeDPPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a59af33b23c7eb23246f0d95c642cf414',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['commontimedpmaptype_47',['commonTimeDPMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aafa31be66dd46212a4511e00701ea2a6',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['commontimedppairtype_48',['commonTimeDPPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ade55a44a6ec8e758d1a54bef2f843e3b',1,'systemc_clang::GlobalSuspensionAutomata']]], ['compound_2epy_49',['compound.py',['../compound_8py.html',1,'']]], ['compound_5fassign_50',['COMPOUND_ASSIGN',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a6d45a606b8488d77602f8d5c73149348',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['connectmaptype_51',['connectMapType',['../classsystemc__clang_1_1Node.html#a757a0a264ce7af97e787057df02a864d',1,'systemc_clang::Node']]], - ['connectpairtype_52',['connectPairType',['../classsystemc__clang_1_1Node.html#a3a402e48e9ae2e5d1a9d58a23463bb52',1,'systemc_clang::Node']]], - ['const_5fdft_5fiterator_53',['const_dft_iterator',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html',1,'systemc_clang::Tree< T >::const_dft_iterator'],['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a0e08551d6033d6dabf7ad8afeea881f6',1,'systemc_clang::Tree::const_dft_iterator::const_dft_iterator()']]], - ['const_5fiterator_54',['const_iterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae7770eee59fdc2200105c656cb84c641',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['const_5fsucc_5fiterator_55',['const_succ_iterator',['../classsystemc__clang_1_1SplitCFGBlock.html#a0eb2183d2f2098821fa4b4d8579f2372',1,'systemc_clang::SplitCFGBlock']]], - ['const_5fsucc_5fiterator_5frange_56',['const_succ_iterator_range',['../classsystemc__clang_1_1SplitCFGBlock.html#a76156f187742e143031aef01cb9c9fc6',1,'systemc_clang::SplitCFGBlock']]], - ['const_5fsuccs_57',['const_succs',['../classsystemc__clang_1_1SplitCFGBlock.html#a007f0be93b70461cafd9e86a565ff578',1,'systemc_clang::SplitCFGBlock']]], - ['construct_5fsccfg_58',['construct_sccfg',['../classsystemc__clang_1_1SplitCFG.html#af440e512f27d592c3d23c54d1fabab0c',1,'systemc_clang::SplitCFG']]], - ['constructor_5fdecl_5f_59',['constructor_decl_',['../classsystemc__clang_1_1FindConstructor.html#aa176bb113d9f3c87e45c79ff696b4d5c',1,'systemc_clang::FindConstructor::constructor_decl_'],['../classsystemc__clang_1_1ModuleInstance.html#ac10d27c2d4949dfd29760d75d59abdbc',1,'systemc_clang::ModuleInstance::constructor_decl_']]], - ['constructor_5fstmt_5f_60',['constructor_stmt_',['../classsystemc__clang_1_1FindConstructor.html#a3a9172787f5dbb6a9a27f596ff4abd2b',1,'systemc_clang::FindConstructor::constructor_stmt_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a8759e45cd4ebb8290a0892f70fa5edba',1,'systemc_clang::FindEntryFunctions::constructor_stmt_'],['../classsystemc__clang_1_1ModuleInstance.html#a8c0d420aa73f7ea7fd619494cd3d7dcc',1,'systemc_clang::ModuleInstance::constructor_stmt_']]], - ['constructs_20built_20using_20clang_61',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], - ['context_5f_62',['context_',['../classsystemc__clang_1_1SplitCFG.html#a49ab68c0e922e15f11c8eeef9d4e2400',1,'systemc_clang::SplitCFG::context_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a78cb675830ac2a792c65ab4291b12aa8',1,'systemc_clang::FindEntryFunctions::context_'],['../classsystemc__clang_1_1SystemCConsumer.html#a53d03841ed71ecf0ca5cd056e5e8a69a',1,'systemc_clang::SystemCConsumer::context_']]], - ['continuestmt_63',['continuestmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae4d429446ed2bec1bda9e6f8637ecc13',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['cop_5f_64',['cop_',['../classsystemc__clang_1_1SplitCFG.html#ad6c33c012da28c8b2ec8c1f4db0fa199',1,'systemc_clang::SplitCFG']]], - ['copy_5fand_5fstrip_5fio_65',['copy_and_strip_io',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a02c087c7bc1edc78591a3b2fdc055136',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['cppbool_66',['cppbool',['../classparselib_1_1primitives_1_1cppbool.html',1,'parselib::primitives']]], - ['cppchar_67',['cppchar',['../classparselib_1_1primitives_1_1cppchar.html',1,'parselib::primitives']]], - ['cppint_68',['cppint',['../classparselib_1_1primitives_1_1cppint.html',1,'parselib::primitives']]], - ['cpplonglong_69',['cpplonglong',['../classparselib_1_1primitives_1_1cpplonglong.html',1,'parselib::primitives']]], - ['cppshort_70',['cppshort',['../classparselib_1_1primitives_1_1cppshort.html',1,'parselib::primitives']]], - ['cppsignedchar_71',['cppsignedchar',['../classparselib_1_1primitives_1_1cppsignedchar.html',1,'parselib::primitives']]], - ['cppuint_72',['cppuint',['../classparselib_1_1primitives_1_1cppuint.html',1,'parselib::primitives']]], - ['cppulonglong_73',['cppulonglong',['../classparselib_1_1primitives_1_1cppulonglong.html',1,'parselib::primitives']]], - ['cppunsignedchar_74',['cppunsignedchar',['../classparselib_1_1primitives_1_1cppunsignedchar.html',1,'parselib::primitives']]], - ['cppushort_75',['cppushort',['../classparselib_1_1primitives_1_1cppushort.html',1,'parselib::primitives']]], - ['create_76',['create',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#adb629bd9d83213d5edc36349c108f29e',1,'systemc_hdl::HDLFrontendActionFactory']]], - ['create_5fchannel_77',['create_channel',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aff8c2f809fdec197c8225a493a0f0e0a',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['create_5fchannel_5fdecl_78',['create_channel_decl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a6344720770f081171136a12154403a7c',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['create_5finport_79',['create_inport',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a0545620ae31a8df1012a1acf4432b223',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['create_5finport_5fdecl_80',['create_inport_decl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a3a21fc8d5410c68b46895f6cbc5d5587',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['create_5foutport_81',['create_outport',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a325f368f9468230f7efb484a251b4298',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['create_5foutport_5fdecl_82',['create_outport_decl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a92f8b7e99e717ee4a8c3f0f322a222fd',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['createastconsumer_83',['CreateASTConsumer',['../classsystemc__clang_1_1SystemCClangAXN.html#a8cb08498889e9e381f24be4d9c789c44',1,'systemc_clang::SystemCClangAXN::CreateASTConsumer()'],['../classsystemc__hdl_1_1HDLAXN.html#a37268a339b900f7b89dffa370713b85c',1,'systemc_hdl::HDLAXN::CreateASTConsumer()']]], - ['createunsplitblocks_84',['createUnsplitBlocks',['../classsystemc__clang_1_1SplitCFG.html#ad29b07bb97198b7287659acc99a89962',1,'systemc_clang::SplitCFG']]], - ['createwaitsplitcfgblocks_85',['createWaitSplitCFGBlocks',['../classsystemc__clang_1_1SplitCFG.html#ac2335cf50df43edf5e30c3b082882db0',1,'systemc_clang::SplitCFG']]], - ['cthread_86',['CTHREAD',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138ac8d304bc25d6596b687ba2798223dd32',1,'systemc_clang']]], - ['ctor_5fdecl_5f_87',['ctor_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#af07587717c680a9e61df581032e05dd2',1,'systemc_clang::FindEntryFunctions']]], - ['curix_88',['curix',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a95c7363a4b07b0c3e39359d14c49bd9f',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['current_89',['current',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a3149677e364d90e2b347bd705e7a4d80',1,'parselib::transforms::structure_collector::StructureCollector']]], - ['current_5fbindings_90',['current_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#abdfd8a55d0709892006259ffcf39e915',1,'parselib::transforms::type_collector::TypeCollector']]], - ['current_5ffunction_5fassignments_91',['current_function_assignments',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a420f018873f6a3eb52986d551e706cca',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a76334a84c8e8c50155b30dfe6d31f797',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments(self)'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a949eb7e3eb6bbd670977aa70a5c36b7e',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments(self, val)']]], - ['current_5ffunction_5ffunction_5finvocations_92',['current_function_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#adaf64b7f3931014ebd2a77f086f555de',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['current_5ffunction_5fnodes_93',['current_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a0d6f179af7f7e196781ca75ef7a8344e',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['current_5findent_94',['current_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aadfe5e638145d76f2991f6cdbe339345',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['current_5fmod_95',['current_mod',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a514fee13528b611a6ac988cc67e5f583',1,'parselib::transforms::type_collector::TypeCollector']]], - ['current_5fmodule_96',['current_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a01cd6172078c35ada518f31a564d75fe',1,'parselib.transforms.literal_expansion.LiteralExpansion.current_module'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#ac1e247d78d82dd9e17a30744510bdd96',1,'parselib.transforms.node_movement.NodeMovement.current_module'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a63540a238bda2e39aeb00d78c8cce708',1,'parselib.transforms.typedef_expansion.TypedefExpansion.current_module'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a354d2a73b686cb8939e2c6109214c187',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.current_module']]], - ['current_5fmodule_5ffunction_5fnodes_97',['current_module_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a6bfba2cd0ae890c9faa773706a509fbc',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a050ed0f160cb1992313c988b4092c0ab',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a47f701359d6b14b191b2001e0ec13fed',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes(self)'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ac97206b7fc49f75427aee84d950457da',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes(self, val)'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a56676ccbdaaf7b4775cf1dc9fab57146',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes(self)'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a06ce546422a8e827ec30a06854213f07',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes(self, val)']]], - ['current_5fparams_98',['current_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a932cd9625d368bfcfb2d90c49449187e',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['current_5fphantom_5fname_99',['current_phantom_name',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a309697313513d93d22b639ae8219f3ba',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.current_phantom_name'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a537c917629a9db9443aedda01b5cebcd',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.current_phantom_name(self)']]], - ['current_5fproc_5fname_100',['current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7e8660b3ec8a7fc8bc1e3fd63ef81578',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['current_5fprocess_5ffunction_5finvocations_101',['current_process_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a2d13b388d2b10c7d97408bbbab7c2c00',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#afb1d674d32d75d3716964cce69b06cfc',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations(self)'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a77c897c6de795aed0217a5c35115263e',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations(self, val)']]], - ['current_5fscope_5ffunction_5finvocations_102',['current_scope_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a8e080cf15475797b4a6ff94ec2844294',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['current_5fscope_5fobject_103',['current_scope_object',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0ed12f213e7736b224cd1b9079368a86',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['current_5ftype_5fnode_5f_104',['current_type_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a1a5a05a299d882cce7e2f99d17f85f1a',1,'systemc_clang::FindTemplateTypes']]], - ['custom_5ftypes_105',['custom_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a1125b313e3ebf88972d6620ac0bbc5ab',1,'parselib::transforms::type_collector::TypeCollector']]], - ['cxx_5fmcall_5f_106',['cxx_mcall_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#afa0b896b5167844ee2a6cecea32658d0',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['cxxconstructexpr_107',['CXXCONSTRUCTEXPR',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0a4bd2ab89483baadfa7f587b4bb843af5',1,'systemc_clang']]], - ['cxxoperatorcallexpr_108',['CXXOPERATORCALLEXPR',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0ab5aa8684345ea985beb2e96cab2596f6',1,'systemc_clang']]], - ['cxxrecorddeclutils_2ecpp_109',['CXXRecordDeclUtils.cpp',['../CXXRecordDeclUtils_8cpp.html',1,'']]], - ['cxxrecorddeclutils_2eh_110',['CXXRecordDeclUtils.h',['../CXXRecordDeclUtils_8h.html',1,'']]] + ['condexp_5fconfluence_5fblock_5fmap_51',['condexp_confluence_block_map',['../classsystemc__hdl_1_1HDLThread.html#a6ef916fe8a20427d4b74802a18404a6d',1,'systemc_hdl::HDLThread']]], + ['condexp_5fskip_5fblock_5fset_52',['condexp_skip_block_set',['../classsystemc__hdl_1_1HDLThread.html#ab8bdd55b52b61ab2a324322b2d511be1',1,'systemc_hdl::HDLThread']]], + ['connectmaptype_53',['connectMapType',['../classsystemc__clang_1_1Node.html#ae5f09ebef467727cba7a2637e815b9de',1,'systemc_clang::Node']]], + ['connectpairtype_54',['connectPairType',['../classsystemc__clang_1_1Node.html#ac2e9e174be088f3a61adbcf09e45a511',1,'systemc_clang::Node']]], + ['const_5fdft_5fiterator_55',['const_dft_iterator',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html',1,'systemc_clang::Tree< T >::const_dft_iterator'],['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a0e08551d6033d6dabf7ad8afeea881f6',1,'systemc_clang::Tree::const_dft_iterator::const_dft_iterator()']]], + ['const_5fiterator_56',['const_iterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae7770eee59fdc2200105c656cb84c641',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], + ['const_5fsucc_5fiterator_57',['const_succ_iterator',['../classsystemc__clang_1_1SplitCFGBlock.html#a0eb2183d2f2098821fa4b4d8579f2372',1,'systemc_clang::SplitCFGBlock']]], + ['const_5fsucc_5fiterator_5frange_58',['const_succ_iterator_range',['../classsystemc__clang_1_1SplitCFGBlock.html#a76156f187742e143031aef01cb9c9fc6',1,'systemc_clang::SplitCFGBlock']]], + ['const_5fsuccs_59',['const_succs',['../classsystemc__clang_1_1SplitCFGBlock.html#a007f0be93b70461cafd9e86a565ff578',1,'systemc_clang::SplitCFGBlock']]], + ['construct_5fsccfg_60',['construct_sccfg',['../classsystemc__clang_1_1SplitCFG.html#af440e512f27d592c3d23c54d1fabab0c',1,'systemc_clang::SplitCFG']]], + ['constructor_5fdecl_5f_61',['constructor_decl_',['../classsystemc__clang_1_1FindConstructor.html#aa176bb113d9f3c87e45c79ff696b4d5c',1,'systemc_clang::FindConstructor::constructor_decl_'],['../classsystemc__clang_1_1ModuleInstance.html#ac10d27c2d4949dfd29760d75d59abdbc',1,'systemc_clang::ModuleInstance::constructor_decl_']]], + ['constructor_5fstmt_5f_62',['constructor_stmt_',['../classsystemc__clang_1_1FindConstructor.html#a3a9172787f5dbb6a9a27f596ff4abd2b',1,'systemc_clang::FindConstructor::constructor_stmt_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a8759e45cd4ebb8290a0892f70fa5edba',1,'systemc_clang::FindEntryFunctions::constructor_stmt_'],['../classsystemc__clang_1_1ModuleInstance.html#a8c0d420aa73f7ea7fd619494cd3d7dcc',1,'systemc_clang::ModuleInstance::constructor_stmt_']]], + ['constructs_20built_20using_20clang_63',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['context_5f_64',['context_',['../classsystemc__clang_1_1SplitCFG.html#a49ab68c0e922e15f11c8eeef9d4e2400',1,'systemc_clang::SplitCFG::context_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a78cb675830ac2a792c65ab4291b12aa8',1,'systemc_clang::FindEntryFunctions::context_'],['../classsystemc__clang_1_1SystemCConsumer.html#a53d03841ed71ecf0ca5cd056e5e8a69a',1,'systemc_clang::SystemCConsumer::context_']]], + ['contextmanager_65',['ContextManager',['../classparselib_1_1utils_1_1ContextManager.html',1,'parselib::utils']]], + ['continuestmt_66',['continuestmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae4d429446ed2bec1bda9e6f8637ecc13',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['cop_5f_67',['cop_',['../classsystemc__clang_1_1SplitCFG.html#ad6c33c012da28c8b2ec8c1f4db0fa199',1,'systemc_clang::SplitCFG']]], + ['copy_5fand_5fstrip_5fio_68',['copy_and_strip_io',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a02c087c7bc1edc78591a3b2fdc055136',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['cppbool_69',['cppbool',['../classparselib_1_1primitives_1_1cppbool.html',1,'parselib::primitives']]], + ['cppchar_70',['cppchar',['../classparselib_1_1primitives_1_1cppchar.html',1,'parselib::primitives']]], + ['cppint_71',['cppint',['../classparselib_1_1primitives_1_1cppint.html',1,'parselib::primitives']]], + ['cpplonglong_72',['cpplonglong',['../classparselib_1_1primitives_1_1cpplonglong.html',1,'parselib::primitives']]], + ['cppshort_73',['cppshort',['../classparselib_1_1primitives_1_1cppshort.html',1,'parselib::primitives']]], + ['cppsignedchar_74',['cppsignedchar',['../classparselib_1_1primitives_1_1cppsignedchar.html',1,'parselib::primitives']]], + ['cppuint_75',['cppuint',['../classparselib_1_1primitives_1_1cppuint.html',1,'parselib::primitives']]], + ['cppulonglong_76',['cppulonglong',['../classparselib_1_1primitives_1_1cppulonglong.html',1,'parselib::primitives']]], + ['cppunsignedchar_77',['cppunsignedchar',['../classparselib_1_1primitives_1_1cppunsignedchar.html',1,'parselib::primitives']]], + ['cppushort_78',['cppushort',['../classparselib_1_1primitives_1_1cppushort.html',1,'parselib::primitives']]], + ['create_79',['create',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#adb629bd9d83213d5edc36349c108f29e',1,'systemc_hdl::HDLFrontendActionFactory']]], + ['create_5fchannel_80',['create_channel',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aff8c2f809fdec197c8225a493a0f0e0a',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['create_5fchannel_5fdecl_81',['create_channel_decl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a6344720770f081171136a12154403a7c',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['create_5finport_82',['create_inport',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a0545620ae31a8df1012a1acf4432b223',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['create_5finport_5fdecl_83',['create_inport_decl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a3a21fc8d5410c68b46895f6cbc5d5587',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['create_5foutport_84',['create_outport',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a325f368f9468230f7efb484a251b4298',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['create_5foutport_5fdecl_85',['create_outport_decl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a92f8b7e99e717ee4a8c3f0f322a222fd',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['createastconsumer_86',['CreateASTConsumer',['../classsystemc__clang_1_1SystemCClangAXN.html#a8cb08498889e9e381f24be4d9c789c44',1,'systemc_clang::SystemCClangAXN::CreateASTConsumer()'],['../classsystemc__hdl_1_1HDLAXN.html#a37268a339b900f7b89dffa370713b85c',1,'systemc_hdl::HDLAXN::CreateASTConsumer()']]], + ['createunsplitblocks_87',['createUnsplitBlocks',['../classsystemc__clang_1_1SplitCFG.html#ad29b07bb97198b7287659acc99a89962',1,'systemc_clang::SplitCFG']]], + ['createwaitsplitcfgblocks_88',['createWaitSplitCFGBlocks',['../classsystemc__clang_1_1SplitCFG.html#ac2335cf50df43edf5e30c3b082882db0',1,'systemc_clang::SplitCFG']]], + ['cthread_89',['CTHREAD',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138ac8d304bc25d6596b687ba2798223dd32',1,'systemc_clang']]], + ['ctor_5fdecl_5f_90',['ctor_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#af07587717c680a9e61df581032e05dd2',1,'systemc_clang::FindEntryFunctions']]], + ['ctx_91',['ctx',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#ac200cab62a8d5c75c0f8b6e2b2f6413b',1,'parselib.transforms.interface_generation.InterfaceGeneration.ctx'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a7167b8f67af39ab2e5cdf2c792d3e0ce',1,'parselib.transforms.interface_generation.InterfaceReplacement.ctx'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#a807fa1b3d238109fba6692cab777a66b',1,'parselib.transforms.node_movement.ArrayPortMovement.ctx'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a3ecb8662ca2348880201d3fd49653025',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.ctx'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a84426f0b950c0df584bbaf62f4b71548',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.ctx'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a22a1da5abd05dc94371db24a2683df8e',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.ctx'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a93a0855477bfc07e8a73315de52de2ad',1,'parselib.transforms.typedef_expansion.TypedefExpansion.ctx'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2acbf5724e9067d6adb119b67f32bb85',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.ctx']]], + ['curix_92',['curix',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a95c7363a4b07b0c3e39359d14c49bd9f',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], + ['current_93',['current',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a3b7f793ef08def1632a870432e3ecac9',1,'parselib::transforms::structure_collector::StructureCollector']]], + ['current_5fbindings_94',['current_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a45898f52a3d347c54b4cb3e9b7e19466',1,'parselib::transforms::type_collector::TypeCollector']]], + ['current_5ffor_5fstmt_95',['current_for_stmt',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a63dc0fe30466fdf093f7a752a694a7f3',1,'parselib.transforms.sensevar_movement.SensevarMovement.current_for_stmt'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a1833cae1cfd57f42690194977427b675',1,'parselib.transforms.sensevar_movement.SensevarMovement.current_for_stmt']]], + ['current_5ffunction_5fassignments_96',['current_function_assignments',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad4f03342a9ef7eb6cfffaad20b685e55',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a76334a84c8e8c50155b30dfe6d31f797',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments(self)'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a949eb7e3eb6bbd670977aa70a5c36b7e',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_function_assignments(self, val)']]], + ['current_5ffunction_5ffunction_5finvocations_97',['current_function_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#adaf64b7f3931014ebd2a77f086f555de',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['current_5ffunction_5fnodes_98',['current_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a428f4aa819d9acfb7cd21911c680571c',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.current_function_nodes'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a0d6f179af7f7e196781ca75ef7a8344e',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.current_function_nodes(self)']]], + ['current_5findent_99',['current_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aadfe5e638145d76f2991f6cdbe339345',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['current_5fmod_100',['current_mod',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a514fee13528b611a6ac988cc67e5f583',1,'parselib::transforms::type_collector::TypeCollector']]], + ['current_5fmodule_101',['current_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a01cd6172078c35ada518f31a564d75fe',1,'parselib.transforms.literal_expansion.LiteralExpansion.current_module'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#ac1e247d78d82dd9e17a30744510bdd96',1,'parselib.transforms.node_movement.NodeMovement.current_module'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a4dd65a62b253b4774528511f43de8b52',1,'parselib.transforms.sensevar_movement.SensevarMovement.current_module'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a354d2a73b686cb8939e2c6109214c187',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.current_module']]], + ['current_5fmodule_5ffunction_5fnodes_102',['current_module_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#adf9907c78d76d92d396daba8ccca8e65',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a050ed0f160cb1992313c988b4092c0ab',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a47f701359d6b14b191b2001e0ec13fed',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes(self)'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ac97206b7fc49f75427aee84d950457da',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes(self, val)'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a56676ccbdaaf7b4775cf1dc9fab57146',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes(self)'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a06ce546422a8e827ec30a06854213f07',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes(self, val)']]], + ['current_5fparams_103',['current_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a932cd9625d368bfcfb2d90c49449187e',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['current_5fphantom_5fname_104',['current_phantom_name',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a309697313513d93d22b639ae8219f3ba',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.current_phantom_name'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a537c917629a9db9443aedda01b5cebcd',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.current_phantom_name(self)']]], + ['current_5fproc_5fname_105',['current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7e8660b3ec8a7fc8bc1e3fd63ef81578',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['current_5fprocess_5ffunction_5finvocations_106',['current_process_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a2d13b388d2b10c7d97408bbbab7c2c00',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#afb1d674d32d75d3716964cce69b06cfc',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations(self)'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a77c897c6de795aed0217a5c35115263e',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_process_function_invocations(self, val)']]], + ['current_5fscope_5ffunction_5finvocations_107',['current_scope_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a8e080cf15475797b4a6ff94ec2844294',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['current_5fscope_5fobject_108',['current_scope_object',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0ed12f213e7736b224cd1b9079368a86',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['current_5ftype_5fnode_5f_109',['current_type_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a1a5a05a299d882cce7e2f99d17f85f1a',1,'systemc_clang::FindTemplateTypes']]], + ['custom_5ftypes_110',['custom_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a1125b313e3ebf88972d6620ac0bbc5ab',1,'parselib::transforms::type_collector::TypeCollector']]], + ['cxx_5fmcall_5f_111',['cxx_mcall_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#afa0b896b5167844ee2a6cecea32658d0',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['cxxconstructexpr_112',['CXXCONSTRUCTEXPR',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0a4bd2ab89483baadfa7f587b4bb843af5',1,'systemc_clang']]], + ['cxxoperatorcallexpr_113',['CXXOPERATORCALLEXPR',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0ab5aa8684345ea985beb2e96cab2596f6',1,'systemc_clang']]], + ['cxxrecorddeclutils_2ecpp_114',['CXXRecordDeclUtils.cpp',['../CXXRecordDeclUtils_8cpp.html',1,'']]], + ['cxxrecorddeclutils_2eh_115',['CXXRecordDeclUtils.h',['../CXXRecordDeclUtils_8h.html',1,'']]] ]; diff --git a/search/all_5.js b/search/all_5.js index 868d5319..a57d85fc 100644 --- a/search/all_5.js +++ b/search/all_5.js @@ -2,15 +2,15 @@ var searchData= [ ['edge_0',['Edge',['../classsystemc__clang_1_1Edge.html',1,'systemc_clang::Edge'],['../classsystemc__clang_1_1Edge.html#a248f22d2315374dab5b17c2ed6c15f3a',1,'systemc_clang::Edge::Edge(Node *, Node *)'],['../classsystemc__clang_1_1Edge.html#a9520dcbab3eb27889dd2f3aeb43d5df8',1,'systemc_clang::Edge::Edge(Node *, Node *, int)']]], ['edge_5f_1',['edge_',['../classsc__ast__matchers_1_1ResetMatcher.html#a5d6b20ce4c3b8c2dfc8a7f4b492ca684',1,'sc_ast_matchers::ResetMatcher']]], - ['edgeidvector_2',['edgeIDVector',['../classsystemc__clang_1_1Graph.html#acc6f301ba6e28a826613c7053c0081bd',1,'systemc_clang::Graph']]], - ['edgemaptype_3',['edgeMapType',['../classsystemc__clang_1_1Graph.html#abff1b72e06bcd00a734cc17beaec7037',1,'systemc_clang::Graph']]], - ['edgepairtype_4',['edgePairType',['../classsystemc__clang_1_1Graph.html#addbac67cac024ada8cd801dbef9fabed',1,'systemc_clang::Graph']]], - ['edgevector_5',['edgeVector',['../classsystemc__clang_1_1Graph.html#a5d8068c36a854814c31e59fc4c1955aa',1,'systemc_clang::Graph']]], + ['edgeidvector_2',['edgeIDVector',['../classsystemc__clang_1_1Graph.html#a7bb330c3e3c0581404d36e1e7d3a6402',1,'systemc_clang::Graph']]], + ['edgemaptype_3',['edgeMapType',['../classsystemc__clang_1_1Graph.html#a4b91ff84373cfdf02a0351c9e77addf2',1,'systemc_clang::Graph']]], + ['edgepairtype_4',['edgePairType',['../classsystemc__clang_1_1Graph.html#a5e6c31b366badd9817a48af719cf34d3',1,'systemc_clang::Graph']]], + ['edgevector_5',['edgeVector',['../classsystemc__clang_1_1Graph.html#a2fe1064e8803b0f5d27b07b5febc8dfe',1,'systemc_clang::Graph']]], ['ef_6',['ef',['../classsystemc__clang_1_1FindEntryFunctions.html#a30a5efb59a564acfe4ce1cebf167d8bc',1,'systemc_clang::FindEntryFunctions']]], ['efc_5f_7',['efc_',['../classsystemc__hdl_1_1HDLThread.html#af29e58374310775665475946b4f5d29b',1,'systemc_hdl::HDLThread']]], ['elements_5f_8',['elements_',['../classsystemc__clang_1_1SplitCFGBlock.html#add6967a62548cfa47b4f3ab2f1bea7ff',1,'systemc_clang::SplitCFGBlock']]], - ['empty_9',['empty',['../classhnode_1_1newname__map__t.html#a0ab55f3f2f89b25452a1dcd6a716b4f4',1,'hnode::newname_map_t']]], - ['empty_10',['EMPTY',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0aba2b45bdc11e2a4a6e86aab2ac693cbb',1,'systemc_clang']]], + ['empty_9',['EMPTY',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0aba2b45bdc11e2a4a6e86aab2ac693cbb',1,'systemc_clang']]], + ['empty_10',['empty',['../classhnode_1_1newname__map__t.html#a0ab55f3f2f89b25452a1dcd6a716b4f4',1,'hnode::newname_map_t']]], ['end_11',['end',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae33cd4de78b503829d48c49adfa8f12f',1,'systemc_clang::SplitCFGBlock::SuccessorIterator::end()'],['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#ac3dceedea216ae3b1c690298e13ced4f',1,'systemc_clang::Tree::const_dft_iterator::end()'],['../classsystemc__clang_1_1Tree.html#a254839e6cde82c0ef88524b1ff6d83e5',1,'systemc_clang::Tree::end()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#a25cf89fed9f28c23b1f56ad4474a8466',1,'systemc_clang::Tree::dft_iterator::end()'],['../classsystemc__clang_1_1Tree.html#a2ac43df626b1f93fbab1f4c472482508',1,'systemc_clang::Tree::end()'],['../classhnode_1_1newname__map__t.html#ab3b1b1b1337c885f4ddd98a73d512a40',1,'hnode::newname_map_t::end()']]], ['entry_5fcxx_5frecord_5fdecl_5f_12',['entry_cxx_record_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#a0847c1c40aabb1c90939d929a63187a7',1,'systemc_clang::FindEntryFunctions']]], ['entry_5ffunction_5fgpu_5fmacro_5fmap_5f_13',['entry_function_gpu_macro_map_',['../classsystemc__clang_1_1Model.html#a5900aec67e9e1839581eefdec2542d7e',1,'systemc_clang::Model']]], @@ -22,15 +22,15 @@ var searchData= ['entryfunctioncontainer_19',['EntryFunctionContainer',['../classsystemc__clang_1_1EntryFunctionContainer.html',1,'systemc_clang::EntryFunctionContainer'],['../classsystemc__clang_1_1EntryFunctionContainer.html#ad6c5cef09b71839a7f427be3f73a5dc8',1,'systemc_clang::EntryFunctionContainer::EntryFunctionContainer()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a7d20cc9a44bbab1c2cc89f10164a9553',1,'systemc_clang::EntryFunctionContainer::EntryFunctionContainer(std::string, PROCESS_TYPE, clang::CXXMethodDecl *, clang::Stmt *)'],['../classsystemc__clang_1_1EntryFunctionContainer.html#ae6ffef3388e405c5eb8aa13ee794c2e2',1,'systemc_clang::EntryFunctionContainer::EntryFunctionContainer(const EntryFunctionContainer &)']]], ['entryfunctioncontainer_2ecpp_20',['EntryFunctionContainer.cpp',['../EntryFunctionContainer_8cpp.html',1,'']]], ['entryfunctioncontainer_2eh_21',['EntryFunctionContainer.h',['../EntryFunctionContainer_8h.html',1,'']]], - ['entryfunctiongpumacromaptype_22',['entryFunctionGPUMacroMapType',['../classsystemc__clang_1_1Model.html#a9ce62bbafa3ec966ba8de8c98a238bb2',1,'systemc_clang::Model']]], - ['entryfunctiongpumacropairtype_23',['entryFunctionGPUMacroPairType',['../classsystemc__clang_1_1Model.html#a69b9dcf7c4b4d8a6b6a735110bfecd15',1,'systemc_clang::Model']]], - ['entryfunctioninstanceidmaptype_24',['entryFunctionInstanceIdMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aff1b79ef75c622aabe9e2bd384f64305',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctioninstanceidpairtype_25',['entryFunctionInstanceIdPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a12e17f95884cf65775acb1e05899a58a',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctionlhsmaptype_26',['entryFunctionLHSMapType',['../classsystemc__clang_1_1FindEntryFunctions.html#aff6d7588de582ac4424d09bfd22f3993',1,'systemc_clang::FindEntryFunctions']]], - ['entryfunctionlhspairtype_27',['entryFunctionLHSPairType',['../classsystemc__clang_1_1FindEntryFunctions.html#a9a5f9822d44e890c428e58f02f7a519c',1,'systemc_clang::FindEntryFunctions']]], - ['entryfunctionmacromaptype_28',['entryFunctionMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a1363a55892607c0e016d70e740a57f91',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctionmacropairtype_29',['entryFunctionMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abcce2a03d05d57d4d9dbc786f7edf9d1',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctionvectortype_30',['entryFunctionVectorType',['../classsystemc__clang_1_1FindEntryFunctions.html#a7414ce6160d09ea8539f4513bc204338',1,'systemc_clang::FindEntryFunctions']]], + ['entryfunctiongpumacromaptype_22',['entryFunctionGPUMacroMapType',['../classsystemc__clang_1_1Model.html#a2921c4f53ef38f4742f1a0ea4f252f58',1,'systemc_clang::Model']]], + ['entryfunctiongpumacropairtype_23',['entryFunctionGPUMacroPairType',['../classsystemc__clang_1_1Model.html#af5e3d41ee5b9ec674becccea5802f487',1,'systemc_clang::Model']]], + ['entryfunctioninstanceidmaptype_24',['entryFunctionInstanceIdMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a828e570082858c54f52cce63c1bec31f',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctioninstanceidpairtype_25',['entryFunctionInstanceIdPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae9ff162f6b533eb464227dd70ecdee9f',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctionlhsmaptype_26',['entryFunctionLHSMapType',['../classsystemc__clang_1_1FindEntryFunctions.html#ab1e0d2a33ad919c80138118d0c82fbdf',1,'systemc_clang::FindEntryFunctions']]], + ['entryfunctionlhspairtype_27',['entryFunctionLHSPairType',['../classsystemc__clang_1_1FindEntryFunctions.html#a892dbeb554d6e35945778933b3aa6197',1,'systemc_clang::FindEntryFunctions']]], + ['entryfunctionmacromaptype_28',['entryFunctionMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a01e46941846d30d0152a15689dee034b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctionmacropairtype_29',['entryFunctionMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afba25704dc38cad48b8f2af5d4b2aa8b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctionvectortype_30',['entryFunctionVectorType',['../classsystemc__clang_1_1FindEntryFunctions.html#aaeb11926b49c5ca5bfa57fde7bd897c7',1,'systemc_clang::FindEntryFunctions']]], ['enumerate_31',['Enumerate',['../classsystemc__clang_1_1FindTemplateTypes.html#ae648d1354e92813a492ccb2f7011d183',1,'systemc_clang::FindTemplateTypes']]], ['environment_5fcheck_32',['environment_check',['../namespacesystemc-clang.html#ad8e52a56f0ad70be6be8476067a22cee',1,'systemc-clang']]], ['etype_33',['etype',['../hNode_8h.html#ab3bfcd8ed86420e6208923263968d872',1,'etype: hNode.h'],['../hNode_8h.html#ab3bfcd8ed86420e6208923263968d872',1,'etype: hNode.h']]], @@ -42,10 +42,10 @@ var searchData= ['eventdecl_39',['EventDecl',['../classsystemc__clang_1_1EventDecl.html',1,'systemc_clang::EventDecl'],['../classsystemc__clang_1_1EventDecl.html#a18838003bf22ecfcf44e4c0525dad502',1,'systemc_clang::EventDecl::EventDecl()'],['../classsystemc__clang_1_1EventDecl.html#a005562fb7ff5a9ce27b473e38b55e85c',1,'systemc_clang::EventDecl::EventDecl(const std::string &, clang::FieldDecl *)'],['../classsystemc__clang_1_1EventDecl.html#ab50166ef6ef9157143266da1745004e7',1,'systemc_clang::EventDecl::EventDecl(const EventDecl &)']]], ['eventdecl_2ecpp_40',['EventDecl.cpp',['../EventDecl_8cpp.html',1,'']]], ['eventdecl_2eh_41',['EventDecl.h',['../EventDecl_8h.html',1,'']]], - ['eventmaptype_42',['eventMapType',['../classsystemc__clang_1_1Model.html#ad5b45a89d42a948313c31c35179745c9',1,'systemc_clang::Model']]], - ['eventnotificationtimemaptype_43',['eventNotificationTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa89f9ae41fc5343a33462912b8037667',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['eventnotificationtimepairtype_44',['eventNotificationTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a87b9935e2a92ea9266a4daa89de48a0c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['eventpairtype_45',['eventPairType',['../classsystemc__clang_1_1Model.html#aac5d70784acba705b517bffe69a1438d',1,'systemc_clang::Model']]], + ['eventmaptype_42',['eventMapType',['../classsystemc__clang_1_1Model.html#a7b99fb6a0d403b5f60c4db42bfbd4614',1,'systemc_clang::Model']]], + ['eventnotificationtimemaptype_43',['eventNotificationTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6df1f6e329488fa71e7b393e395dfb4c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['eventnotificationtimepairtype_44',['eventNotificationTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#adae29e7b0b7fb4e3a022dc16fdca663b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['eventpairtype_45',['eventPairType',['../classsystemc__clang_1_1Model.html#a36993a59bdb21c8b61a5c322fc9bb48a',1,'systemc_clang::Model']]], ['execute_46',['execute',['../classsystemc-clang_1_1SystemCClang.html#afc661c39c29846ee821dd66e759d188b',1,'systemc-clang::SystemCClang']]], ['expand_5fbinding_5fref_47',['expand_binding_ref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a31c8fd2d7cb791d50ee44c834ae0a568',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['expanded_48',['expanded',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aaee2d4151de1926dd4924fec071b9651',1,'parselib.transforms.function_param_marker.FunctionParamMarker.expanded'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a4e8cbcefdb6572ad544fc0e68e90ef77',1,'parselib.transforms.port_expansion.PortExpansion.expanded'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7b7dd721e7f60557aa15063f8c05f8c8',1,'parselib.transforms.typedef_expansion.TypedefExpansion.expanded']]], diff --git a/search/all_6.js b/search/all_6.js index 05b91720..2c6d326d 100644 --- a/search/all_6.js +++ b/search/all_6.js @@ -2,9 +2,9 @@ var searchData= [ ['false_5fidx_5f_0',['false_idx_',['../structsystemc__clang_1_1SupplementaryInfo.html#a0bc102c0f387699d4aa855448018c411',1,'systemc_clang::SupplementaryInfo']]], ['false_5fpath_5f_1',['false_path_',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a23a2d07bddd11534dbd89c869790cf35',1,'systemc_clang::SplitCFGPathInfo']]], - ['field_5faccess_2',['field_access',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a33dd738649eb3d0cd091d0b290274468',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['field_5faccess_2',['field_access',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a7306ca943528aec6868b5224b9a0cca3',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], ['field_5fdecl_5f_3',['field_decl_',['../classsystemc__clang_1_1PortDecl.html#ab907f3dbfe7a2bada940b37c896d48e7',1,'systemc_clang::PortDecl']]], - ['fields_4',['fields',['../classparselib_1_1compound_1_1aggregate.html#a7cff63c576aa69cf46f42b7883a1cd5f',1,'parselib.compound.aggregate.fields'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a11efa210679117f5a61d61bb5978717f',1,'parselib.transforms.node.TypeDefType.fields'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#acab32d8a6a5044e22bad883d0b43e39f',1,'parselib.transforms.type_node.TypeNode.fields']]], + ['fields_4',['fields',['../classparselib_1_1compound_1_1aggregate.html#a7cff63c576aa69cf46f42b7883a1cd5f',1,'parselib.compound.aggregate.fields'],['../classparselib_1_1compound_1_1aggregate.html#a3d86071bebfc129f25d0fd3f0e9fd65b',1,'parselib.compound.aggregate.fields'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a11efa210679117f5a61d61bb5978717f',1,'parselib.transforms.node.TypeDefType.fields'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#acab32d8a6a5044e22bad883d0b43e39f',1,'parselib.transforms.type_node.TypeNode.fields'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#acaafefb029f36eed4ee780c7c1585b28',1,'parselib.transforms.type_node.TypeNode.fields']]], ['file_5',['file',['../namespacesystemc__clang.html#a24221f7aa44f163d9275a2a0bf58ff06',1,'systemc_clang']]], ['find_5fentry_5fnewn_6',['find_entry_newn',['../classhnode_1_1newname__map__t.html#ac1154d83cb9e5188db83711ab4d7c257',1,'hnode::newname_map_t']]], ['findargument_7',['FindArgument',['../classsystemc__clang_1_1FindArgument.html',1,'systemc_clang::FindArgument'],['../classsystemc__clang_1_1FindArgument.html#a73e9c40884bb0a06aa14403d0816472d',1,'systemc_clang::FindArgument::FindArgument()']]], @@ -59,15 +59,15 @@ var searchData= ['fire_56',['fire',['../classsystemc__clang_1_1SystemCConsumer.html#a3c77d4b6256d0cae17688fdf10fdfd4c',1,'systemc_clang::SystemCConsumer']]], ['for_20systemc_20constructs_20built_20using_20clang_57',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], ['for_5finfo_5ft_58',['for_info_t',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html',1,'systemc_hdl::HDLConstructorHcode']]], - ['forbody_59',['forbody',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a75dad3cad177202d2e02ae08819c4de0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['forbody_59',['forbody',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a44f93d50bc99d74bcf09b05ce761371d',1,'parselib.transforms.port_expansion.PortExpansion.forbody()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab8a55af4e0de31b59e96599c68b05154',1,'parselib.transforms.typedef_expansion.TypedefExpansion.forbody()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a75dad3cad177202d2e02ae08819c4de0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.forbody(self, tree)']]], ['forcond_60',['forcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a62e21f4de05fc20aa078fa66140cfb5d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['forinit_61',['forinit',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8ad7be65b2fb81cbee71655766d0ea2d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['forinit_61',['forinit',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#ac3c66cf7a6302946f7d18ab10d4fbbc7',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.forinit()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8ad7be65b2fb81cbee71655766d0ea2d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.forinit(self, tree)']]], ['forpostcond_62',['forpostcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3837bf1f085c1164a8f10314bb68df3f',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['forstmt_63',['forstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1e54e9c42138055d39157ad85482e54a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['forstmtgpumacromaptype_64',['forStmtGPUMacroMapType',['../classsystemc__clang_1_1FindGPUMacro.html#a683dfecf001a3f455e3894ac825ca1bc',1,'systemc_clang::FindGPUMacro']]], - ['forstmtgpumacropairtype_65',['forStmtGPUMacroPairType',['../classsystemc__clang_1_1FindGPUMacro.html#a86a326f526c790d043be6ec3df04ca64',1,'systemc_clang::FindGPUMacro']]], - ['forstmtinstanceidmaptype_66',['forStmtInstanceIdMapType',['../classsystemc__clang_1_1FindGPUMacro.html#ab7896ae9188debbbaa54744048bb467a',1,'systemc_clang::FindGPUMacro']]], - ['forstmtinstanceidpairtype_67',['forStmtInstanceIdPairType',['../classsystemc__clang_1_1FindGPUMacro.html#a9bed9afe2922108381ef0ac5bb3505de',1,'systemc_clang::FindGPUMacro']]], + ['forstmt_63',['forstmt',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a03e744c6be27c3226fc2b359bd974335',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.forstmt()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a998f23eccf82cc69e47fe731ca0f31c8',1,'parselib.transforms.sensevar_movement.SensevarMovement.forstmt()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1e54e9c42138055d39157ad85482e54a',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.forstmt()']]], + ['forstmtgpumacromaptype_64',['forStmtGPUMacroMapType',['../classsystemc__clang_1_1FindGPUMacro.html#ad580a867117896c9f08f9f334ab95424',1,'systemc_clang::FindGPUMacro']]], + ['forstmtgpumacropairtype_65',['forStmtGPUMacroPairType',['../classsystemc__clang_1_1FindGPUMacro.html#ad0e27c32e12c8978e8de00330ce9da71',1,'systemc_clang::FindGPUMacro']]], + ['forstmtinstanceidmaptype_66',['forStmtInstanceIdMapType',['../classsystemc__clang_1_1FindGPUMacro.html#add13c17d487e243989ab8b9dd05bc3b7',1,'systemc_clang::FindGPUMacro']]], + ['forstmtinstanceidpairtype_67',['forStmtInstanceIdPairType',['../classsystemc__clang_1_1FindGPUMacro.html#ae109fed9275bdbbbd7cbc15c93eaafc2',1,'systemc_clang::FindGPUMacro']]], ['found_5fentry_5fdecl_5f_68',['found_entry_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#a12970bdbd070601e1c886ebaa00c283e',1,'systemc_clang::FindEntryFunctions']]], ['found_5ffields_5f_69',['found_fields_',['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#a106bc2dcf987c0abf7fc0dd4875f87bc',1,'sc_ast_matchers::FindMemberFieldMatcher']]], ['found_5ffields_5fargs_70',['found_fields_args',['../classTemplateParametersMatcher.html#a770563f11351a3bfabe19d553d5ee220',1,'TemplateParametersMatcher']]], diff --git a/search/all_7.js b/search/all_7.js index 3eee54fd..0ee44100 100644 --- a/search/all_7.js +++ b/search/all_7.js @@ -1,251 +1,260 @@ var searchData= [ - ['generate_5fpaths_0',['generate_paths',['../classsystemc__clang_1_1SplitCFG.html#a4824504a131b8dbf592fbe5ff8576643',1,'systemc_clang::SplitCFG']]], - ['generate_5fvname_1',['generate_vname',['../classsystemc__hdl_1_1HDLBody.html#a5b815784cc5f7749a530cb9a457dab82',1,'systemc_hdl::HDLBody']]], - ['generatebinop_2',['GenerateBinop',['../classsystemc__hdl_1_1HDLThread.html#abc8c2579cc04dabf50927d9ca1110849',1,'systemc_hdl::HDLThread']]], - ['generateinstancenames_3',['GenerateInstanceNames',['../classsystemc__hdl_1_1HDLMain.html#aa6274f2f206d1ca25b143ca9e92219c1',1,'systemc_hdl::HDLMain']]], - ['generatesensitivityname_4',['generateSensitivityName',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afa2eb5d64c58309f87ae5fd69afc2437',1,'sc_ast_matchers::SensitivityMatcher']]], - ['generatestateupdate_5',['GenerateStateUpdate',['../classsystemc__hdl_1_1HDLThread.html#a1dd1c8e8b693dafea34f01d26504c39b',1,'systemc_hdl::HDLThread']]], - ['generatestatevar_6',['GenerateStateVar',['../classsystemc__hdl_1_1HDLThread.html#a12eaac12875b4585948320e10621d1f7',1,'systemc_hdl::HDLThread']]], - ['generatetype_7',['generatetype',['../classHDLType.html#ab10cea49909ac746af38024ee2358b7a',1,'HDLType']]], - ['generatewaitcntupdate_8',['GenerateWaitCntUpdate',['../classsystemc__hdl_1_1HDLThread.html#ad0e218b04503718fcd5c672e1a1e55d1',1,'systemc_hdl::HDLThread']]], - ['generic_5fisfound_9',['generic_isFound',['../SuspensionAutomata_8cpp.html#ac484a884be6dbde73c1a5c0fc7b3fe80',1,'SuspensionAutomata.cpp']]], - ['gengsauto_10',['genGSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af343d5bf1434341a724d44faf24cbd2e',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gensauto_11',['genSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a2cd224dcca716d2c10200b3c5be2ca42',1,'systemc_clang::SuspensionAutomata']]], - ['gensuscfg_12',['genSusCFG',['../classsystemc__clang_1_1SuspensionAutomata.html#ad40e6ca40061230817d49243b637be46',1,'systemc_clang::SuspensionAutomata']]], - ['get_5falias_5ftype_5fwith_5finstantiation_13',['get_alias_type_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a23ae5caf961195f23008540ef24da575',1,'parselib::transforms::node::TypeDefType']]], - ['get_5fargv_14',['get_argv',['../namespacesystemc-clang.html#a1481202a60ed77bcbbd2569fd8ccb21d',1,'systemc-clang']]], - ['get_5fcontext_15',['get_context',['../classparselib_1_1primitives_1_1sc__in.html#a47c6fcfb7285c0918e91fbacd7c4e5a1',1,'parselib.primitives.sc_in.get_context()'],['../classparselib_1_1primitives_1_1____func__inout.html#a841b54a74ad9e70435eaff686d8d416e',1,'parselib.primitives.__func_inout.get_context()']]], - ['get_5fcurrent_5find_5fprefix_16',['get_current_ind_prefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aafe4beb8486ea509c03fe845ac71cff0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fmodule_5fvar_5ftype_17',['get_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7641731b52026f82eb5f96abe5979bfe',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fmodule_5fvar_5ftype_5for_5fdefault_18',['get_current_module_var_type_or_default',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a41b2828c1e6acb73c44a5bb8f33ec93e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fproc_5fname_19',['get_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a07b3121225df74a97d4e0fa0a21d975d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fscope_5ftype_20',['get_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a19bf0bb6d8683863c69323a18e9a07b6',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcustom_5ftype_21',['get_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a32917b3e87af4369381c2a31b46addc0',1,'parselib::transforms::type_collector::TypeCollector']]], - ['get_5felement_5ftype_22',['get_element_type',['../classparselib_1_1primitives_1_1array.html#a3e18735026d16691581bd45b57b8cd9c',1,'parselib::primitives::array']]], - ['get_5ffields_5fwith_5finstantiation_23',['get_fields_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a55218273d190567157d774b11890a65a',1,'parselib::transforms::node::TypeDefType']]], - ['get_5fids_5fin_5ftree_24',['get_ids_in_tree',['../namespaceparselib_1_1utils.html#a5b48f06484e258e08e9b31b96bbd1e59',1,'parselib::utils']]], - ['get_5fids_5fin_5ftree_5fdfs_25',['get_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#aae0d251da6c925b459a5433e8fd88385',1,'parselib::utils']]], - ['get_5fport_5fbindings_26',['get_port_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#afd907462163f94dc916395ca2008956a',1,'parselib::transforms::type_collector::TypeCollector']]], - ['get_5fprefix_27',['get_prefix',['../classhnode_1_1name__serve.html#a6ade23e83a345931fa0ad7145d1dc923',1,'hnode::name_serve::get_prefix()'],['../classhnode_1_1newname__map__t.html#aa069091237589c4c207faaa6676f9687',1,'hnode::newname_map_t::get_prefix()']]], - ['get_5fprimitive_28',['get_primitive',['../classparselib_1_1primitives_1_1Primitive.html#aa93bba180e53aed40ea4172f38ae5fec',1,'parselib::primitives::Primitive']]], - ['get_5fprimitive_5fname_5fdict_29',['get_primitive_name_dict',['../classparselib_1_1primitives_1_1Primitive.html#a72d2f57df32b0f4fe708041c6aaec509',1,'parselib::primitives::Primitive']]], - ['get_5fsense_5flist_30',['get_sense_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab2b21cdb65f4e11ee97259c236f6ab72',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5ftype_31',['get_type',['../classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html#a60ff00ad22dda62cee23e0b8aefb5c3a',1,'parselib::transforms::top_down::VariableBindings']]], - ['getallbaseclasses_32',['getAllBaseClasses',['../namespacesc__ast__matchers_1_1utils.html#a01265a86f775f3e9e458372f52ef031c',1,'sc_ast_matchers::utils']]], - ['getallbaseclassnames_33',['getAllBaseClassNames',['../namespacesc__ast__matchers_1_1utils.html#a9783053a358476470de028a34baed544',1,'sc_ast_matchers::utils']]], - ['getallpathinfo_34',['getAllPathInfo',['../classsystemc__clang_1_1SplitCFG.html#a9f9fe184f38df0e3684248a18920569d',1,'systemc_clang::SplitCFG']]], - ['getargfields_35',['getArgFields',['../classTemplateParametersMatcher.html#af9dc96194c8ff2e21994bcf37a1f3c41',1,'TemplateParametersMatcher']]], - ['getarglist_36',['getArgList',['../classsystemc__clang_1_1NotifyContainer.html#ae81acefda1a851a62a5536b7c35a8c49',1,'systemc_clang::NotifyContainer::getArgList()'],['../classsystemc__clang_1_1WaitContainer.html#ad3f5160b60f554caa6f0b388db241722',1,'systemc_clang::WaitContainer::getArgList()']]], - ['getargstring_37',['getArgString',['../classsystemc__clang_1_1NotifyContainer.html#a3b11056c35cdbca21b1babd231e9a57d',1,'systemc_clang::NotifyContainer::getArgString()'],['../classsystemc__clang_1_1WaitContainer.html#a382669e24b21f47293c076edb476f59f',1,'systemc_clang::WaitContainer::getArgString()']]], - ['getargumentname_38',['getArgumentName',['../classsystemc__clang_1_1FindArgument.html#aed1288c3f991e56b20c161328f4aee37',1,'systemc_clang::FindArgument::getArgumentName()'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6f133dd6587f8374c3f3cd54d4d7e881',1,'systemc_clang::GlobalSuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a20267391120384f5f3473a224716c468',1,'systemc_clang::SuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1Utility.html#a2b89b2bd4d7a3f10974b8904c1798921',1,'systemc_clang::Utility::getArgumentName()']]], - ['getarraydimension_39',['getArrayDimension',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a04ffe18b47e0526bc72d523764f9cf01',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getarrayinstanceindex_40',['getArrayInstanceIndex',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a8caeed19d064a60af5447e3b3b2bc7b7',1,'sc_ast_matchers::utils::array_type']]], - ['getarraymemberexprname_41',['getArrayMemberExprName',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#affa9a73bdbbac2e524a3e6babc1cbfd3',1,'sc_ast_matchers::utils::array_type']]], - ['getarrayparameters_42',['getArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a28162f2cd35d5d9f58bb6f6114ba3664',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getarraysizes_43',['getArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a31ec55da91eed85530fcb927202e0854',1,'sc_ast_matchers::ModuleInstanceType::getArraySizes()'],['../classsystemc__clang_1_1PortDecl.html#abbde883d313575e5ed15ee816a4947a5',1,'systemc_clang::PortDecl::getArraySizes()']]], - ['getarraysubscripts_44',['getArraySubscripts',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#aaceb5ab834462ecaf2da90c3b1da1794',1,'sc_ast_matchers::utils::array_type']]], - ['getarraytype_45',['getArrayType',['../classsystemc__clang_1_1PortDecl.html#a43ca64dccbd062324c2abc5963154546',1,'systemc_clang::PortDecl']]], - ['getascxxrecorddecl_46',['getAsCXXRecordDecl',['../classsystemc__clang_1_1FindConstructor.html#a17136833a5c8cad1d873d2bb84a150cb',1,'systemc_clang::FindConstructor']]], - ['getasfielddecl_47',['getAsFieldDecl',['../classsystemc__clang_1_1PortDecl.html#a92bfdf72e9248a8a590a2b027df750d2',1,'systemc_clang::PortDecl']]], - ['getastnode_48',['getASTNode',['../classsystemc__clang_1_1EventContainer.html#aea0cda4c60b882813cb49d5f70f5549b',1,'systemc_clang::EventContainer::getASTNode()'],['../classsystemc__clang_1_1EventDecl.html#a91e2244638f1ce2d7b90eb9a836e3f81',1,'systemc_clang::EventDecl::getASTNode()'],['../classsystemc__clang_1_1NotifyContainer.html#a645f34bea02fe0e3d770696a2da1b910',1,'systemc_clang::NotifyContainer::getASTNode()'],['../classsystemc__clang_1_1SignalDecl.html#a66b8f2838d8af4f850c87e58ad1fd295',1,'systemc_clang::SignalDecl::getASTNode()'],['../classsystemc__clang_1_1WaitContainer.html#a6dcbd3a3b98c33634f3a17075245acd1',1,'systemc_clang::WaitContainer::getASTNode()']]], - ['getasvardecl_49',['getAsVarDecl',['../classsystemc__clang_1_1PortDecl.html#a2500d6bc9d55fc9ebd369958b262f514',1,'systemc_clang::PortDecl']]], - ['getbaseinstances_50',['getBaseInstances',['../classsystemc__clang_1_1ModuleInstance.html#aa5bbdf019fe555d3155c9ffb110a9bc6',1,'systemc_clang::ModuleInstance']]], - ['getblock_51',['getBlock',['../classsystemc__clang_1_1SusCFG.html#a5896f7a1e1132bfea080ff478698f8c1',1,'systemc_clang::SusCFG']]], - ['getblockid_52',['getBlockID',['../classsystemc__clang_1_1SplitCFGBlock.html#a9ab1b3f2cc94ffb3b5951dfb5e6d72d6',1,'systemc_clang::SplitCFGBlock::getBlockID()'],['../classsystemc__clang_1_1SusCFG.html#a87b1bd459e23e5a90f714167047d9def',1,'systemc_clang::SusCFG::getBlockID()']]], - ['getblockidx_53',['getBlockIdx',['../classsystemc__clang_1_1GPUMacro.html#aa93a604a0d682d38ea524d6ee2bc79d6',1,'systemc_clang::GPUMacro']]], - ['getblockidy_54',['getBlockIdy',['../classsystemc__clang_1_1GPUMacro.html#ad2c027b2c20fe2eafb882b4087ff265e',1,'systemc_clang::GPUMacro']]], - ['getblockidz_55',['getBlockIdz',['../classsystemc__clang_1_1GPUMacro.html#ace526615dea7ed936e25a6ecf93ea138',1,'systemc_clang::GPUMacro']]], - ['getcalleearraysubscripts_56',['getCalleeArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#a7da5517adff2b424a37aa0a9c8ea4bba',1,'systemc_clang::PortBinding']]], - ['getcalleeinstancename_57',['getCalleeInstanceName',['../classsystemc__clang_1_1PortBinding.html#a1376ee922434c1d318027bf5623c936b',1,'systemc_clang::PortBinding']]], - ['getcalleeportname_58',['getCalleePortName',['../classsystemc__clang_1_1PortBinding.html#a056c88fb1c71198441be3779e9c1ff12',1,'systemc_clang::PortBinding']]], - ['getcallerarraysubscripts_59',['getCallerArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#aa0ad3a4de12bf03fd0382f0f8fb2c0dc',1,'systemc_clang::PortBinding']]], - ['getcallercallee_60',['getCallerCallee',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#a8ada1a118d02f99757cd6d4919d4a165',1,'sc_ast_matchers::CallerCalleeMatcher']]], - ['getcallerinstancename_61',['getCallerInstanceName',['../classsystemc__clang_1_1PortBinding.html#a4bd0428a8f23be87f89e7cda084139a3',1,'systemc_clang::PortBinding']]], - ['getcallerinstancetypename_62',['getCallerInstanceTypeName',['../classsystemc__clang_1_1PortBinding.html#a31718873d60c8a69ef3357b0fc1d3fca',1,'systemc_clang::PortBinding']]], - ['getcallermemberexpr_63',['getCallerMemberExpr',['../classsystemc__clang_1_1PortBinding.html#af965152cec89e6203a0b8c2aa4669cdf',1,'systemc_clang::PortBinding']]], - ['getcallerportarraysubscripts_64',['getCallerPortArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#ad83753efdd44051cfa710bfa00d57084',1,'systemc_clang::PortBinding']]], - ['getcallerportname_65',['getCallerPortName',['../classsystemc__clang_1_1PortBinding.html#af2aa9c5d2455ab06f1661cd76ded189f',1,'systemc_clang::PortBinding']]], - ['getcfgblock_66',['getCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html#a6694778d50cad2c763c7d81522d6a359',1,'systemc_clang::SplitCFGBlock']]], - ['getchildblocklist_67',['getChildBlockList',['../classsystemc__clang_1_1SusCFG.html#a6aa20b36799667e001b7633a8790e43a',1,'systemc_clang::SusCFG']]], - ['getchildren_68',['getChildren',['../classsystemc__clang_1_1Tree.html#a970c6343c7021e22d7b7fb5fb3fc89a4',1,'systemc_clang::Tree']]], - ['getclassnamefromdecl_69',['getClassNameFromDecl',['../namespacesc__ast__matchers_1_1utils.html#ac6934ca2b8299e80ee1a99e7c38b0535',1,'sc_ast_matchers::utils']]], - ['getclockports_70',['getClockPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a0ad4061f0b89541c2291e657c0bc1fed',1,'sc_ast_matchers::PortMatcher']]], - ['getconfluenceblocks_71',['getConfluenceBlocks',['../classsystemc__clang_1_1SplitCFG.html#acfeba58a804b2b61d7b94a9458c7df33',1,'systemc_clang::SplitCFG']]], - ['getconstantarraysizes_72',['getConstantArraySizes',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a7c57428836f641635c3d9bef101f81c0',1,'sc_ast_matchers::utils::array_type']]], - ['getconstructordecl_73',['getConstructorDecl',['../classsystemc__clang_1_1FindConstructor.html#a21fbf7421f588f2b35d4b3ea51345ca2',1,'systemc_clang::FindConstructor::getConstructorDecl()'],['../classsystemc__clang_1_1ModuleInstance.html#a9d2e6ca05a0887949c41c6f0d65f477b',1,'systemc_clang::ModuleInstance::getConstructorDecl()']]], - ['getconstructorstmt_74',['getConstructorStmt',['../classsystemc__clang_1_1FindConstructor.html#a77820daaffd189affdc07bc4ec623e5c',1,'systemc_clang::FindConstructor::getConstructorStmt()'],['../classsystemc__clang_1_1ModuleInstance.html#a4f25ebe3f9749062e64f3c5246ae5991',1,'systemc_clang::ModuleInstance::getConstructorStmt()']]], - ['getcontext_75',['getContext',['../classsystemc__clang_1_1SystemCConsumer.html#ad83b670a41e54b55954ec9fa305dce99',1,'systemc_clang::SystemCConsumer']]], - ['getcputime_76',['getCPUTime',['../classsystemc__clang_1_1GPUMacro.html#abe0492628920eac96ed31fac90193917',1,'systemc_clang::GPUMacro']]], - ['getdata_77',['getData',['../classsystemc__clang_1_1TreeNode.html#a1b2ccf587f21fe34d12d59a97c9308b6',1,'systemc_clang::TreeNode']]], - ['getdataptr_78',['getDataPtr',['../classsystemc__clang_1_1TreeNode.html#afccbbc5b1e0642f6cb19bd72953a18a1',1,'systemc_clang::TreeNode']]], - ['getedge_79',['getEdge',['../classsystemc__clang_1_1Graph.html#a8a750db74890cad002240e0734da43d9',1,'systemc_clang::Graph::getEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a46614dc9af2eeea6ae6d7bcbf700f73d',1,'systemc_clang::Graph::getEdge(int, int)']]], - ['getedgeid_80',['getEdgeID',['../classsystemc__clang_1_1Graph.html#a2c243b93d640a155b9b885b46c6d4dba',1,'systemc_clang::Graph::getEdgeID(Edge *)'],['../classsystemc__clang_1_1Graph.html#af4e3ee0614273a2609a098c318cbefbc',1,'systemc_clang::Graph::getEdgeID(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a5b37cba4d4dc7353196acf907145710f',1,'systemc_clang::Graph::getEdgeID(int, int)']]], - ['getedgesfromdest_81',['getEdgesFromDest',['../classsystemc__clang_1_1Graph.html#abc844b7bc921741a0dc6575c31b22478',1,'systemc_clang::Graph::getEdgesFromDest(int)'],['../classsystemc__clang_1_1Graph.html#aca79bee6b172c861e80f6f757e90095b',1,'systemc_clang::Graph::getEdgesFromDest(Node *)']]], - ['getedgesfromsource_82',['getEdgesFromSource',['../classsystemc__clang_1_1Graph.html#a27fde3fe501aa414188b09f84ac6a923',1,'systemc_clang::Graph::getEdgesFromSource(int)'],['../classsystemc__clang_1_1Graph.html#a2a91f74bfc51cf689f49f4cadc5b7eca',1,'systemc_clang::Graph::getEdgesFromSource(Node *)']]], - ['getelements_83',['getElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a4a51715ef5589ee1f15d9a954dfcb253',1,'systemc_clang::SplitCFGBlock']]], - ['getentrycxxrecorddecl_84',['getEntryCXXRecordDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#ac446832a87870fc13179e8b713574081',1,'systemc_clang::FindEntryFunctions']]], - ['getentryfunction_85',['getEntryFunction',['../classsystemc__clang_1_1ProcessDecl.html#ad6d08ebd6ae33a0d3b22e2a73b28abbb',1,'systemc_clang::ProcessDecl']]], - ['getentryfunctioncontainer_86',['getEntryFunctionContainer',['../classsystemc__clang_1_1ModuleInstance.html#af1940f1279d238e1f0105724e1a45fab',1,'systemc_clang::ModuleInstance']]], - ['getentryfunctiongpumacromap_87',['getEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#aa0c07dfe1669cce2d0da6869fc2eef88',1,'systemc_clang::Model']]], - ['getentryfunctionmacromap_88',['getEntryFunctionMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5c29b2d9a82908fc99212b66a31dd385',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getentryfunctions_89',['getEntryFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#af7a21991fe8d8e3b5789c28dcb4001bc',1,'systemc_clang::FindEntryFunctions']]], - ['getentrymethod_90',['getEntryMethod',['../classsystemc__clang_1_1FindNotify.html#a90a52c785b20f668e81735395fe215ad',1,'systemc_clang::FindNotify::getEntryMethod()'],['../classsystemc__clang_1_1FindWait.html#a581ee769249059fac4a9a7a8b488268b',1,'systemc_clang::FindWait::getEntryMethod()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a86410e2fd78a84d07855d2ad05696839',1,'systemc_clang::EntryFunctionContainer::getEntryMethod()'],['../classsystemc__clang_1_1NotifyContainer.html#a6e89aa20250b34664883255c056f38af',1,'systemc_clang::NotifyContainer::getEntryMethod()'],['../classsystemc__clang_1_1WaitContainer.html#a4937c32be15f2cfa02fcf500afea2464',1,'systemc_clang::WaitContainer::getEntryMethod()']]], - ['getentrymethoddecl_91',['getEntryMethodDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#aa0f2f247b3a02230180881351537a0a5',1,'systemc_clang::FindEntryFunctions::getEntryMethodDecl()'],['../classsystemc__clang_1_1ProcessDecl.html#ad036d278d1641de2ae93e508ac08d76a',1,'systemc_clang::ProcessDecl::getEntryMethodDecl()']]], - ['getentryname_92',['getEntryName',['../classsystemc__clang_1_1FindEntryFunctions.html#ab69b3a5704295d3655b6f77405506b1d',1,'systemc_clang::FindEntryFunctions']]], - ['getevent_93',['getEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#adf39018ee2f86a522a3e81f12fbb429e',1,'systemc_clang::SuspensionAutomata']]], - ['geteventmap_94',['getEventMap',['../classsystemc__clang_1_1FindGlobalEvents.html#a281cab7140c2ede50bfc886adabf240e',1,'systemc_clang::FindGlobalEvents']]], - ['geteventmaptype_95',['getEventMapType',['../classsystemc__clang_1_1Model.html#a2b9df68d993f0d43a194a7a1885d6565',1,'systemc_clang::Model']]], - ['geteventname_96',['getEventName',['../classsystemc__clang_1_1EventContainer.html#a5732df92c0503f829e00c37fbbbd867e',1,'systemc_clang::EventContainer::getEventName()'],['../classsystemc__clang_1_1State.html#a2bc5bfac607d8519452737899137b4c0',1,'systemc_clang::State::getEventName()']]], - ['geteventnames_97',['getEventNames',['../classsystemc__clang_1_1FindEvents.html#abc963179eed24f8a7bf2e79d1b50e08b',1,'systemc_clang::FindEvents::getEventNames()'],['../classsystemc__clang_1_1FindGlobalEvents.html#a01ea433758be4c3b697b7174b61a4a0f',1,'systemc_clang::FindGlobalEvents::getEventNames()']]], - ['getfalseid_98',['getFalseId',['../structsystemc__clang_1_1SupplementaryInfo.html#a4b71b21bd797c5134f3651649f4bf12c',1,'systemc_clang::SupplementaryInfo']]], - ['getfalselength_99',['GetFalseLength',['../classsystemc__hdl_1_1HDLThread.html#a15f420713968bb596598f220a41d4fa8',1,'systemc_hdl::HDLThread']]], - ['getfalsepath_100',['getFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a5fac27cb9292450b70d01a9c52d820f8',1,'systemc_clang::SplitCFGPathInfo']]], - ['getfielddecls_101',['getFieldDecls',['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#a01800d7c7ee463632ab2ed7431083696',1,'sc_ast_matchers::FindMemberFieldMatcher']]], - ['getfields_102',['getFields',['../classTemplateParametersMatcher.html#a4ae346305db4ff1dde8a5bf873daca48',1,'TemplateParametersMatcher']]], - ['getforstmtgpumacromap_103',['getForStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a3f0922dcab5a57b789e4775040a6eb09',1,'systemc_clang::FindGPUMacro']]], - ['getfoundmoduledeclarations_104',['getFoundModuleDeclarations',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a2624f76f8f343fb607364da16287b5ce',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['getfromid_105',['getFromId',['../classsystemc__clang_1_1Edge.html#a8649bc1063d1be9387d3909b0ba36960',1,'systemc_clang::Edge']]], - ['getfunctionname_106',['getFunctionName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#aedbf10db1bd1341f8758beea7bd08ec8',1,'sc_ast_matchers::utils::NamespaceMatcher']]], - ['getglobalsauto_107',['getGlobalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae953d95b5a85a8b6593bbabea84066f6',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getgputime_108',['getGPUTime',['../classsystemc__clang_1_1GPUMacro.html#aa6a4895dd19fc7b3000cf3b280899967',1,'systemc_clang::GPUMacro']]], - ['getgsauto_109',['getGSauto',['../classsystemc__clang_1_1Model.html#a251e194b6a43ca2fb10fd792826c02d2',1,'systemc_clang::Model::getGSauto()'],['../classTimeAnalysis.html#a1136dd058565f4952d4182fb321971ac',1,'TimeAnalysis::getGSauto()']]], - ['getid_110',['getId',['../classsystemc__clang_1_1Node.html#a3c7b8ccf4dab44216b997c5f63f11d9f',1,'systemc_clang::Node::getId()'],['../classsystemc__clang_1_1Edge.html#abfe372bacca411d0b654a0c83bed8b69',1,'systemc_clang::Edge::getId()']]], - ['getiinterfaces_111',['getIInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a8a65771018618ea912ea464b91a02df0',1,'systemc_clang::ModuleInstance']]], - ['getinclassevents_112',['getInClassEvents',['../classsystemc__clang_1_1FindEvents.html#aec2eecc4a7f729aba15fbcd8095a757f',1,'systemc_clang::FindEvents']]], - ['getincomingtransitions_113',['getIncomingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a04b297442b832b6ac447b37301a351d5',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getinoutports_114',['getInOutPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a52705757b2d269c595a82cbcfbf222da',1,'sc_ast_matchers::PortMatcher']]], - ['getinputinterfaces_115',['getInputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a4d4c18ed22cb13db65adf9f227216449',1,'systemc_clang::FindTLMInterfaces']]], - ['getinputoutputinterfaces_116',['getInputOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#abbd673e1caef50580434b32dccf739b5',1,'systemc_clang::FindTLMInterfaces']]], - ['getinputports_117',['getInputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a9aaa481ddbedcc55bfa05e3b1ad70025',1,'sc_ast_matchers::PortMatcher']]], - ['getinputstreamports_118',['getInputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a33b8250042cc1a9e7f03814d0da4cfc6',1,'sc_ast_matchers::PortMatcher::getInputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a73225cd120f34fc75751ddc3f238fbec',1,'systemc_clang::ModuleInstance::getInputStreamPorts()']]], - ['getinstance_119',['getInstance',['../classsystemc__clang_1_1Model.html#aaa520d4751e3356adf95d22da04efb3b',1,'systemc_clang::Model::getInstance(const std::string &instance_name)'],['../classsystemc__clang_1_1Model.html#a38145f5de77de05c68841ea62ea8fb2e',1,'systemc_clang::Model::getInstance(Decl *instance_decl)']]], - ['getinstanceconstructorname_120',['getInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a87d73335daf2c006d847c91dd4cfb55b',1,'systemc_clang::PortBinding']]], - ['getinstancedecl_121',['getInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a93c98dd130f7c4d3209c08a94c766bc9',1,'systemc_clang::ModuleInstance::getInstanceDecl()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#aacd84f77e724125efd006bd06ee2dadd',1,'sc_ast_matchers::ModuleInstanceType::getInstanceDecl()']]], - ['getinstancefielddecl_122',['getInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2ed203f154013a3e20e7cee3091d6d3c',1,'systemc_clang::ModuleInstance']]], - ['getinstancefunctionsautomap_123',['getInstanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8d0d88d3ae3c4ecd0c8b09d324b27b6c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getinstanceinfo_124',['getInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#a8b088227c84f35c712c7776a0d281dfb',1,'systemc_clang::ModuleInstance']]], - ['getinstancelist_125',['getInstanceList',['../classsystemc__clang_1_1ModuleInstance.html#ab2c3b23d75606ee05f3ba6a07a95da2f',1,'systemc_clang::ModuleInstance']]], - ['getinstancelistmodulemap_126',['getInstanceListModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a535e45334a681317911a5b3d9e1d6f0e',1,'systemc_clang::FindNetlist']]], - ['getinstanceliteral_127',['getInstanceLiteral',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a13c05a3f41b6c3cb7fa3586eb17e7300',1,'sc_ast_matchers::InstanceArgumentMatcher']]], - ['getinstancemap_128',['getInstanceMap',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae5f29389e22482e77f7b28b5b68efb9f',1,'sc_ast_matchers::InstanceMatcher']]], - ['getinstancematcher_129',['getInstanceMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a63b5effd9522f040e7707578543e6e4a',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['getinstancemodulemap_130',['getInstanceModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a8d35235664b5b29582fd67105e7f6557',1,'systemc_clang::FindNetlist']]], - ['getinstancename_131',['getInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a8c053ec6f0756cef1f68102ef1d9f145',1,'systemc_clang::ModuleInstance']]], - ['getinstancenames_132',['getInstanceNames',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a1d1199df1b439afa704b127d072ae859',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getinstanceportsignalmap_133',['getInstancePortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a118b0dba9c558682f329ee385481baad',1,'systemc_clang::FindNetlist']]], - ['getinstances_134',['getInstances',['../classsystemc__clang_1_1Model.html#ac1ad5e0f23656450e2a8183f6d7889c4',1,'systemc_clang::Model']]], - ['getinstancesautomap_135',['getInstanceSautoMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a53cf16189b7e335b1a6fc63eb3ea5bac',1,'systemc_clang::EntryFunctionContainer']]], - ['getinstancesuscfgmap_136',['getInstanceSusCFGMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a6658d0969d8077a72f3fa061910abf39',1,'systemc_clang::EntryFunctionContainer']]], - ['getinstancetype_137',['getInstanceType',['../classsystemc__clang_1_1PortBinding.html#a809da824a6c1db091a09c383609eeda9',1,'systemc_clang::PortBinding']]], - ['getinstancetypedecl_138',['getInstanceTypeDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a0079713b8619693c428a7aa7624ecda2',1,'sc_ast_matchers::ModuleInstanceType::getInstanceTypeDecl()'],['../classsystemc__clang_1_1PortBinding.html#aca6f62504ab4bf4cf2f0a2f85e114e38',1,'systemc_clang::PortBinding::getInstanceTypeDecl()']]], - ['getinstancevardecl_139',['getInstanceVarDecl',['../classsystemc__clang_1_1ModuleInstance.html#ac33228f71472ad6a5a2247f74bb81757',1,'systemc_clang::ModuleInstance']]], - ['getinstancevarname_140',['getInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#a997d661b3daac03cbd5dca6f04009a37',1,'systemc_clang::PortBinding']]], - ['getiointerfaces_141',['getIOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#ad74d4af3ead7efed17920104b7b81cc0',1,'systemc_clang::ModuleInstance']]], - ['getioports_142',['getIOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43aa2538cb4a240f89e395336009dc0a',1,'systemc_clang::ModuleInstance']]], - ['getiports_143',['getIPorts',['../classsystemc__clang_1_1ModuleInstance.html#af63180850f4b93df82425e5e34a96aa0',1,'systemc_clang::ModuleInstance']]], - ['getmemberarraysubscriptexpr_144',['getMemberArraySubscriptExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a5156ccf8a27e610c7e8fa1c1660f7d96',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['getmemberexprcallexpr_145',['getMemberExprCallExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a4946d92d04a0c8d4a6362bbf7f9cca49',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['getmemberexprwithoutcall_146',['getMemberExprWithoutCall',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a26a38441e8ee2bd68081f450b593d0ca',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['getmoduleclassdecl_147',['getModuleClassDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2b475e9a754dcdf0d0cd905dcceb5ff4',1,'systemc_clang::ModuleInstance']]], - ['getmoduleinitializernames_148',['getModuleInitializerNames',['../namespacesc__ast__matchers_1_1utils.html#a5cf3a35398c44b8bfdcad5d92f8cfcf9',1,'sc_ast_matchers::utils']]], - ['getname_149',['getname',['../classhnode_1_1hNode.html#a3a514db7343d00d4371a07a4714d5ad1',1,'hnode::hNode']]], - ['getname_150',['getName',['../classsystemc__clang_1_1EntryFunctionContainer.html#a8c4e6135b1fc18475ffd1ed6351925ea',1,'systemc_clang::EntryFunctionContainer::getName()'],['../classsystemc__clang_1_1EventDecl.html#a0bd62f5c6e79ccf9ea234f974858c18d',1,'systemc_clang::EventDecl::getName()'],['../classsystemc__clang_1_1InterfaceDecl.html#ab3ebb6eb42b3dc53169436ef3cc7d1d9',1,'systemc_clang::InterfaceDecl::getName()'],['../classsystemc__clang_1_1ModuleInstance.html#a6f7bac0c669433c2cf4ea2846b43606c',1,'systemc_clang::ModuleInstance::getName()'],['../classsystemc__clang_1_1NotifyCalls.html#a2a85db2b0d49a7847def4287b11f64c4',1,'systemc_clang::NotifyCalls::getName()'],['../classsystemc__clang_1_1PortDecl.html#a407dcd68862014e89d9f9666e4dc6231',1,'systemc_clang::PortDecl::getName()'],['../classsystemc__clang_1_1ProcessDecl.html#a2152f18924607183c687554f616ee945',1,'systemc_clang::ProcessDecl::getName()'],['../classsystemc__clang_1_1SignalDecl.html#ae0d63c75effbde4b0547794725d6e01f',1,'systemc_clang::SignalDecl::getName()'],['../classsystemc__clang_1_1WaitCalls.html#a3bd60c79084a4db2d5d0e53a938309f1',1,'systemc_clang::WaitCalls::getName()']]], - ['getnamespacename_151',['getNamespaceName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#abfc484d445f420770ec35d65ab977d3c',1,'sc_ast_matchers::utils::NamespaceMatcher']]], - ['getnestedmoduleinstances_152',['getNestedModuleInstances',['../classsystemc__clang_1_1ModuleInstance.html#a58b6305e7ca18d172ddbb9887b06d572',1,'systemc_clang::ModuleInstance']]], - ['getnextstate_153',['getNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a24215fc4634952cb7061e344dbea2a02',1,'systemc_clang::SplitCFGBlock']]], - ['getnode_154',['getNode',['../classsystemc__clang_1_1Graph.html#a7bd159419fd64439460675934b6a998e',1,'systemc_clang::Graph']]], - ['getnodeid_155',['getNodeID',['../classsystemc__clang_1_1Graph.html#a1a7dd9bf6fae1e4313e953da79879547',1,'systemc_clang::Graph']]], - ['getnotifycalllist_156',['getNotifyCallList',['../classsystemc__clang_1_1FindNotify.html#aea9758772262f7d6600895170e250d0d',1,'systemc_clang::FindNotify::getNotifyCallList()'],['../classsystemc__clang_1_1NotifyCalls.html#a04b92e1b2cf254c553ef603a3096e17a',1,'systemc_clang::NotifyCalls::getNotifyCallList()']]], - ['getnotifycalls_157',['getNotifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9936135c483e438c70202bbd7e8371de',1,'systemc_clang::EntryFunctionContainer']]], - ['getnotifyeventname_158',['getNotifyEventName',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a7961bf67e1bf59f3c41c8a52f3de8c66',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getnumargs_159',['getNumArgs',['../classsystemc__clang_1_1NotifyContainer.html#a7cfba08630a3b6647c609e10affd1e76',1,'systemc_clang::NotifyContainer::getNumArgs()'],['../classsystemc__clang_1_1WaitContainer.html#ae43e006099b1af0653cfd8275290e7c1',1,'systemc_clang::WaitContainer::getNumArgs()']]], - ['getnumevents_160',['getNumEvents',['../classsystemc__clang_1_1Model.html#ad355f8ff01f39fd6338f65dc5c106a05',1,'systemc_clang::Model']]], - ['getnuminstances_161',['getNumInstances',['../classsystemc__clang_1_1FindNetlist.html#ad9356ee3634a7ab8f6df78eb952cc374',1,'systemc_clang::FindNetlist::getNumInstances()'],['../classsystemc__clang_1_1ModuleInstance.html#ae850438fbe4698f80e35ab3d343472bd',1,'systemc_clang::ModuleInstance::getNumInstances()']]], - ['getnumnotifycalls_162',['getNumNotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html#a31dceb473a9f326f61da1e8b20b6d908',1,'systemc_clang::NotifyCalls']]], - ['getnumofelements_163',['getNumOfElements',['../classsystemc__clang_1_1SplitCFGBlock.html#af9665339766ba12d9ecc772ee78be8ae',1,'systemc_clang::SplitCFGBlock']]], - ['getointerfaces_164',['getOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a78afbabd5f4e05572feab136402c3c1e',1,'systemc_clang::ModuleInstance']]], - ['getopc_165',['getopc',['../classhnode_1_1hNode.html#a2321b495c12ccc2cb4aa002fb3b01371',1,'hnode::hNode']]], - ['getoports_166',['getOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43eba79f18e8c170fbad6b1f58497e0e',1,'systemc_clang::ModuleInstance']]], - ['getotherfunctions_167',['getOtherFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#a6f7589522be2c6e22585a950afc07d73',1,'systemc_clang::FindEntryFunctions']]], - ['getothervars_168',['getOtherVars',['../classsc__ast__matchers_1_1PortMatcher.html#a1b9e80abf23554b2ea5fbc5e014197c7',1,'sc_ast_matchers::PortMatcher::getOtherVars()'],['../classsystemc__clang_1_1ModuleInstance.html#a0e3b99f52a403a903ce960b75da353c2',1,'systemc_clang::ModuleInstance::getOtherVars()']]], - ['getoutgoingtransitions_169',['getOutgoingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f17d7f6c359ed983d9d7a4ef643f904',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getoutputinterfaces_170',['getOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#af1af50f52696772f60128dd5311e987e',1,'systemc_clang::FindTLMInterfaces']]], - ['getoutputports_171',['getOutputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#aa605f5aced640939f0152311d221d009',1,'sc_ast_matchers::PortMatcher']]], - ['getoutputstreamports_172',['getOutputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a6fdcd78f0d6757dedaaef047f7432333',1,'sc_ast_matchers::PortMatcher::getOutputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a5f1fafe86119ded33a0677ba4b3546c4',1,'systemc_clang::ModuleInstance::getOutputStreamPorts()']]], - ['getparent_173',['getParent',['../classsystemc__clang_1_1TreeNode.html#a2ce70274be535f34483bd969ebf32f93',1,'systemc_clang::TreeNode']]], - ['getparentblockid_174',['getParentBlockID',['../classsystemc__clang_1_1SusCFG.html#ac9b31dde5f5087e9c0d8c21fb6ef203c',1,'systemc_clang::SusCFG']]], - ['getparentcfgblock_175',['getParentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a6566e476db1533a07d8743c2edc91626',1,'systemc_clang::SusCFG']]], - ['getparentdecl_176',['getParentDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a324d09869eef75753463a529e32d6929',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getparentsuscfgblock_177',['getParentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a62f906a5658d0a1eea0c3af5900a60cf',1,'systemc_clang::SusCFG']]], - ['getparmfields_178',['getParmFields',['../classTemplateParametersMatcher.html#a7883f29397d44c75d482ddf4ac5a6381',1,'TemplateParametersMatcher']]], - ['getpathid_179',['getPathId',['../structsystemc__clang_1_1SupplementaryInfo.html#a3c56e04cec0cd4487d324f7b84a06f03',1,'systemc_clang::SupplementaryInfo']]], - ['getpathinfo_180',['getPathInfo',['../classsystemc__clang_1_1SplitCFG.html#abc24a2c133cd24e636f271b8077bed10',1,'systemc_clang::SplitCFG']]], - ['getpathsfound_181',['getPathsFound',['../classsystemc__clang_1_1SplitCFG.html#a78cd9aa887a86043285e036615422f5a',1,'systemc_clang::SplitCFG']]], - ['getportbindings_182',['getPortBindings',['../classsystemc__clang_1_1ModuleInstance.html#a73b6367395708b4e5bc1383c9b450900',1,'systemc_clang::ModuleInstance']]], - ['getportname_183',['getPortName',['../classsystemc__clang_1_1PortBinding.html#a13f4df2268461d2eb2923c81d7fb3cb6',1,'systemc_clang::PortBinding']]], - ['getports_184',['getPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a001d61bbd199579a5320475576cf11c8',1,'sc_ast_matchers::PortMatcher']]], - ['getportsignalmap_185',['getPortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a51222b712d7b801d2e4bbf277e85b834',1,'systemc_clang::FindNetlist']]], - ['getpredblocks_186',['getPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a84e8585af04867b898f585ec34ce7c05',1,'systemc_clang::SusCFG']]], - ['getpredecessors_187',['getPredecessors',['../classsystemc__clang_1_1SplitCFGBlock.html#a7eb992dbf07d5f0c847557616fdc828b',1,'systemc_clang::SplitCFGBlock::getPredecessors()'],['../classsystemc__clang_1_1Node.html#a98cc154cafe1a949c40a72639484a43f',1,'systemc_clang::Node::getPredecessors()']]], - ['getprocessmap_188',['getProcessMap',['../classsystemc__clang_1_1ModuleInstance.html#ae167b8a6c5d9841e4d2ef6663ce6b68d',1,'systemc_clang::ModuleInstance']]], - ['getprocesstype_189',['getProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad8229147a1e4fa479126e3761da2f202',1,'systemc_clang::EntryFunctionContainer']]], - ['getresetedge_190',['getResetEdge',['../classsc__ast__matchers_1_1ResetMatcher.html#a48c7726953c5bd9c208e18fc0bf6527a',1,'sc_ast_matchers::ResetMatcher::getResetEdge()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a714a2d96be7908757edd8c5f191217b8',1,'systemc_clang::EntryFunctionContainer::getResetEdge()']]], - ['getresetsignal_191',['getResetSignal',['../classsc__ast__matchers_1_1ResetMatcher.html#a110961715abcab3a73678f18e7634b63',1,'sc_ast_matchers::ResetMatcher::getResetSignal()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a2fda18f63ad9fc844cd7ef75639fd315',1,'systemc_clang::EntryFunctionContainer::getResetSignal()']]], - ['getresettype_192',['getResetType',['../classsc__ast__matchers_1_1ResetMatcher.html#a2c5f286bc44a932a918f1eadb061cb75',1,'sc_ast_matchers::ResetMatcher']]], - ['getroot_193',['getRoot',['../classsystemc__clang_1_1Tree.html#a968907782bf4b8a7850b5ad12099ce37',1,'systemc_clang::Tree']]], - ['getrootmoduleinstance_194',['getRootModuleInstance',['../classsystemc__clang_1_1Model.html#a04cfa4254df094161b4f8672d6fbac90',1,'systemc_clang::Model']]], - ['getsauto_195',['getSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a295186ac19f69fe8bf49b502e70a25bd',1,'systemc_clang::SuspensionAutomata']]], - ['getscmainfunctiondecl_196',['getSCMainFunctionDecl',['../classsystemc__clang_1_1FindSCMain.html#a2c762425466550af80fef688fa3d2c35',1,'systemc_clang::FindSCMain']]], - ['getsensemap_197',['getSenseMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a343064ab06a578f0f0d855aad1d409e4',1,'systemc_clang::EntryFunctionContainer']]], - ['getsensitivitymap_198',['getSensitivityMap',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a99d19c738a2ae450e9d459ccefdcd4ec',1,'sc_ast_matchers::SensitivityMatcher']]], - ['getsenslists_199',['GetSensLists',['../classsystemc__hdl_1_1HDLConstructorHcode.html#aa30f56ed86fe252a5293a7c52c9372dd',1,'systemc_hdl::HDLConstructorHcode']]], - ['getsignals_200',['getSignals',['../classsc__ast__matchers_1_1PortMatcher.html#a39286bcd036ed97667d3dc86589a7f63',1,'sc_ast_matchers::PortMatcher::getSignals()'],['../classsystemc__clang_1_1ModuleInstance.html#af851f06fc902cd0a3a90b78e2804a16e',1,'systemc_clang::ModuleInstance::getSignals()']]], - ['getsimtime_201',['getSimTime',['../classsystemc__clang_1_1State.html#acf009bf9bd20107ee1220c4315a1222b',1,'systemc_clang::State']]], - ['getsourcemanager_202',['getSourceManager',['../classsystemc__clang_1_1SystemCConsumer.html#a4272ad2c5a6239127aa4cbe6cd27c1a8',1,'systemc_clang::SystemCConsumer']]], - ['getsplitcfgblock_203',['getSplitCFGBlock',['../structsystemc__clang_1_1SupplementaryInfo.html#acdecfa485f4b64d3548b104380b6df25',1,'systemc_clang::SupplementaryInfo']]], - ['getsubmodules_204',['getSubmodules',['../classsc__ast__matchers_1_1PortMatcher.html#a33169f3cd54a4fdb192f3f2f4dc6aadd',1,'sc_ast_matchers::PortMatcher::getSubmodules()'],['../classsystemc__clang_1_1ModuleInstance.html#aed26ab69752330db3c975c94bdce616a',1,'systemc_clang::ModuleInstance::getSubmodules()']]], - ['getsuccblocks_205',['getSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a581f1ab02fa66de70074e2617167214c',1,'systemc_clang::SusCFG']]], - ['getsuccessors_206',['getSuccessors',['../classsystemc__clang_1_1SplitCFGBlock.html#aad19a1285d7babcb7e7e3ba81fcecb78',1,'systemc_clang::SplitCFGBlock::getSuccessors()'],['../classsystemc__clang_1_1Node.html#a60a39d782ae02c37b1fd8475c53b78b1',1,'systemc_clang::Node::getSuccessors()']]], - ['getsusauto_207',['getSusAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9d3d27700a2458a309caf51814374d2e',1,'systemc_clang::EntryFunctionContainer']]], - ['getsuscfg_208',['getSusCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#af746bf192e581ad16eec6883ef1b1474',1,'systemc_clang::EntryFunctionContainer::getSusCFG()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a4da78a5159402bb5380b8a427396ef65',1,'systemc_clang::SuspensionAutomata::getSusCFG()']]], - ['getsuscfgblockgpumacromap_209',['getSusCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa4036307db9207ba72c3ee12602bed21',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getsystemcmodel_210',['getSystemCModel',['../classsystemc__clang_1_1SystemCConsumer.html#ae1eda508d3a15cb37f332205f8127e04',1,'systemc_clang::SystemCConsumer']]], - ['gettemplateargs_211',['getTemplateArgs',['../classsystemc__clang_1_1FindTemplateParameters.html#a39e2a33dbb7a8446e4a51847e3d07896',1,'systemc_clang::FindTemplateParameters']]], - ['gettemplateargtreeptr_212',['getTemplateArgTreePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a6379b187759bbf16c8e378d2716cf3e9',1,'systemc_clang::FindTemplateTypes']]], - ['gettemplateparameters_213',['getTemplateParameters',['../classsystemc__clang_1_1FindTemplateParameters.html#af993cd8c8c54ed5873d73d1bf6b1bb2a',1,'systemc_clang::FindTemplateParameters::getTemplateParameters()'],['../classsystemc__clang_1_1ModuleInstance.html#a2197a3fc55319daf2e643ff0639595fe',1,'systemc_clang::ModuleInstance::getTemplateParameters()']]], - ['gettemplatetype_214',['getTemplateType',['../classsystemc__clang_1_1InterfaceDecl.html#ac9c4e5ff8c8c64f5a61e263e4ab07ba5',1,'systemc_clang::InterfaceDecl::getTemplateType()'],['../classsystemc__clang_1_1PortDecl.html#a97faf57eddf2f20618033bf334301c4c',1,'systemc_clang::PortDecl::getTemplateType()']]], - ['gettemplatetypes_215',['getTemplateTypes',['../classsystemc__clang_1_1SignalDecl.html#abf1d2f397d5de7eab11ccd03fa4e50c6',1,'systemc_clang::SignalDecl']]], - ['getthreadidx_216',['getThreadIdx',['../classsystemc__clang_1_1GPUMacro.html#ab348265f86258f210a9be7bc35f77d13',1,'systemc_clang::GPUMacro']]], - ['getthreadidy_217',['getThreadIdy',['../classsystemc__clang_1_1GPUMacro.html#a0331700e0828b07120ef8f12f340049d',1,'systemc_clang::GPUMacro']]], - ['getthreadidz_218',['getThreadIdz',['../classsystemc__clang_1_1GPUMacro.html#a904d8a5936cfe65ae875ea9b5fd14dc6',1,'systemc_clang::GPUMacro']]], - ['gettime_219',['getTime',['../classsystemc__clang_1_1SuspensionAutomata.html#ae1396c0d87d664b56ea77d0ff2daf89c',1,'systemc_clang::SuspensionAutomata']]], - ['gettimeadvancevector_220',['getTimeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a8eded928724037fb5f09f6c723c776cb',1,'systemc_clang::Edge']]], - ['gettimefortransition_221',['getTimeForTransition',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a99c7a6927d270bdd1086cfba84a3c190',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettoid_222',['getToId',['../classsystemc__clang_1_1Edge.html#a85da4c31db3a91fc4a4907611ac7a85c',1,'systemc_clang::Edge']]], - ['gettopmodule_223',['getTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a1a302f2c0ce93bacfe2287d4e76a537e',1,'systemc_clang::SystemCConsumer']]], - ['gettotalwaits_224',['getTotalWaits',['../classsystemc__clang_1_1WaitCalls.html#ad77764ccdbfc71ac37aac08a734b9e58',1,'systemc_clang::WaitCalls']]], - ['gettransitionsattime_225',['getTransitionsAtTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a9c9a58b29db12a757c11c94cd7ff09e0',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettransitiontimemap_226',['getTransitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f28483e547807bbc4084904594e89cb',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettransporttype_227',['getTransportType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad142848415fb20323f067f922baad102',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettruepath_228',['getTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a71ffcfeba6ed5ed450bf5eef4182d9d6',1,'systemc_clang::SplitCFGPathInfo']]], - ['gettype_229',['getType',['../classsystemc__clang_1_1ProcessDecl.html#a640153a556d93a7163b8161f32c18157',1,'systemc_clang::ProcessDecl']]], - ['gettypename_230',['getTypeName',['../classsystemc__clang_1_1TemplateType.html#a0b6b95ff48738820f98c16d85278ea72',1,'systemc_clang::TemplateType']]], - ['gettypeptr_231',['getTypePtr',['../classsystemc__clang_1_1TemplateType.html#a873bc0e213fb4bb72c467c8fd894013b',1,'systemc_clang::TemplateType']]], - ['getunvisitedsuccessor_232',['getUnvisitedSuccessor',['../classsystemc__clang_1_1SplitCFG.html#a562461795ba3bd2f8b5df7d58ef9bdfd',1,'systemc_clang::SplitCFG']]], - ['getvarname_233',['getVarName',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a004aafc0a8aa3e9232f1fdb142328ef4',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getwaitarg_234',['GetWaitArg',['../classsystemc__hdl_1_1HDLBody.html#a4ad9a852873012e553644d115b23cbc9',1,'systemc_hdl::HDLBody']]], - ['getwaitarg_235',['getWaitArg',['../classsystemc__clang_1_1SplitCFGBlock.html#a329a43c8c5b67318bfe7cf718019aa42',1,'systemc_clang::SplitCFGBlock']]], - ['getwaitargument_236',['getWaitArgument',['../classsystemc__clang_1_1SplitCFG.html#a90f1b58c4c9f717887f7424795a12a66',1,'systemc_clang::SplitCFG']]], - ['getwaitcalls_237',['getWaitCalls',['../classsystemc__clang_1_1FindWait.html#aa4f894b091b8b5b4262ea98804b321b6',1,'systemc_clang::FindWait::getWaitCalls()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a47c3469ecff9e10080f70459cbec7331',1,'systemc_clang::EntryFunctionContainer::getWaitCalls()']]], - ['getwaitlist_238',['getWaitList',['../classsystemc__clang_1_1WaitCalls.html#a48da43f79f4cb4b9383f252db6e1f8bb',1,'systemc_clang::WaitCalls']]], - ['getwaitstmt_239',['getWaitStmt',['../classsystemc__clang_1_1SusCFG.html#a89a0f3c5d082345be8584dd591cf9036',1,'systemc_clang::SusCFG']]], - ['globaleventmaptype_240',['globalEventMapType',['../classsystemc__clang_1_1FindGlobalEvents.html#ad9c203c3aa69a85bc288d2bdc39a9924',1,'systemc_clang::FindGlobalEvents']]], - ['globalsuspensionautomata_241',['GlobalSuspensionAutomata',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html',1,'systemc_clang::GlobalSuspensionAutomata'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abb5f142fe7bd8216fd43ac3ba56c38e8',1,'systemc_clang::GlobalSuspensionAutomata::GlobalSuspensionAutomata()']]], - ['globalsuspensionautomata_2ecpp_242',['GlobalSuspensionAutomata.cpp',['../GlobalSuspensionAutomata_8cpp.html',1,'']]], - ['globalsuspensionautomata_2eh_243',['GlobalSuspensionAutomata.h',['../GlobalSuspensionAutomata_8h.html',1,'']]], - ['gpumacro_244',['GPUMacro',['../classsystemc__clang_1_1GPUMacro.html',1,'systemc_clang::GPUMacro'],['../classsystemc__clang_1_1GPUMacro.html#acafbcb39ca1438df64a8a569af3067fd',1,'systemc_clang::GPUMacro::GPUMacro(int, int, int, int, int, int, int, int)'],['../classsystemc__clang_1_1GPUMacro.html#af05ea14efc34ed971726a8f63f296ff6',1,'systemc_clang::GPUMacro::GPUMacro()']]], - ['gpumap_245',['GPUMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6cb4e29442e0d8d53e3c073873f63e4a',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['grammar_2epy_246',['grammar.py',['../grammar_8py.html',1,'']]], - ['graph_247',['Graph',['../classsystemc__clang_1_1Graph.html',1,'systemc_clang::Graph'],['../classsystemc__clang_1_1Graph.html#ae4c72b8ac4d693c49800a4c7e273654f',1,'systemc_clang::Graph::Graph()']]] + ['genbindinglist_0',['genbindinglist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a08dfbcd686ad621d99b92f5773c20a6c',1,'parselib.transforms.interface_generation.InterfaceReplacement.genbindinglist()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ae2b7d18d5b00d22e253ff79fc30c2bf1',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.genbindinglist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6a545e26f9b21425f987f6b744b26d61',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.genbindinglist()']]], + ['generate_5finstance_5fname_1',['generate_instance_name',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html#a79ebb5c0b999a4b4da76c026e16aa0c9',1,'parselib::transforms::interface_generation::Interface']]], + ['generate_5finterface_5fdecl_5fname_2',['generate_interface_decl_name',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html#ac0aaf126c04d947718ec79fcabc3cf28',1,'parselib::transforms::interface_generation::Interface']]], + ['generate_5fpaths_3',['generate_paths',['../classsystemc__clang_1_1SplitCFG.html#a4824504a131b8dbf592fbe5ff8576643',1,'systemc_clang::SplitCFG']]], + ['generate_5fvname_4',['generate_vname',['../classsystemc__hdl_1_1HDLBody.html#a5b815784cc5f7749a530cb9a457dab82',1,'systemc_hdl::HDLBody']]], + ['generatebinop_5',['GenerateBinop',['../classsystemc__hdl_1_1HDLThread.html#abc8c2579cc04dabf50927d9ca1110849',1,'systemc_hdl::HDLThread']]], + ['generateinstancenames_6',['GenerateInstanceNames',['../classsystemc__hdl_1_1HDLMain.html#aa6274f2f206d1ca25b143ca9e92219c1',1,'systemc_hdl::HDLMain']]], + ['generatesensitivityname_7',['generateSensitivityName',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afa2eb5d64c58309f87ae5fd69afc2437',1,'sc_ast_matchers::SensitivityMatcher']]], + ['generatestateupdate_8',['GenerateStateUpdate',['../classsystemc__hdl_1_1HDLThread.html#a1dd1c8e8b693dafea34f01d26504c39b',1,'systemc_hdl::HDLThread']]], + ['generatestatevar_9',['GenerateStateVar',['../classsystemc__hdl_1_1HDLThread.html#a12eaac12875b4585948320e10621d1f7',1,'systemc_hdl::HDLThread']]], + ['generatetype_10',['generatetype',['../classHDLType.html#ab10cea49909ac746af38024ee2358b7a',1,'HDLType']]], + ['generatewaitcntupdate_11',['GenerateWaitCntUpdate',['../classsystemc__hdl_1_1HDLThread.html#ad0e218b04503718fcd5c672e1a1e55d1',1,'systemc_hdl::HDLThread']]], + ['generic_5fisfound_12',['generic_isFound',['../SuspensionAutomata_8cpp.html#ac484a884be6dbde73c1a5c0fc7b3fe80',1,'SuspensionAutomata.cpp']]], + ['genfor_13',['genfor',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adb95ebced250d79a2090cf3bad9d77b0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['gengsauto_14',['genGSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af343d5bf1434341a724d44faf24cbd2e',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gensauto_15',['genSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a2cd224dcca716d2c10200b3c5be2ca42',1,'systemc_clang::SuspensionAutomata']]], + ['gensuscfg_16',['genSusCFG',['../classsystemc__clang_1_1SuspensionAutomata.html#ad40e6ca40061230817d49243b637be46',1,'systemc_clang::SuspensionAutomata']]], + ['genvardecl_17',['genvardecl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a21ba3535af05b796cbea9beb43565100',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5falias_5ftype_5fwith_5finstantiation_18',['get_alias_type_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a23ae5caf961195f23008540ef24da575',1,'parselib::transforms::node::TypeDefType']]], + ['get_5fargv_19',['get_argv',['../namespacesystemc-clang.html#a1481202a60ed77bcbbd2569fd8ccb21d',1,'systemc-clang']]], + ['get_5fcontext_20',['get_context',['../classparselib_1_1primitives_1_1sc__in.html#a47c6fcfb7285c0918e91fbacd7c4e5a1',1,'parselib.primitives.sc_in.get_context()'],['../classparselib_1_1primitives_1_1____func__inout.html#a841b54a74ad9e70435eaff686d8d416e',1,'parselib.primitives.__func_inout.get_context()']]], + ['get_5fcurrent_5find_5fprefix_21',['get_current_ind_prefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aafe4beb8486ea509c03fe845ac71cff0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fmodule_5fvar_5ftype_22',['get_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7641731b52026f82eb5f96abe5979bfe',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fmodule_5fvar_5ftype_5for_5fdefault_23',['get_current_module_var_type_or_default',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a41b2828c1e6acb73c44a5bb8f33ec93e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fproc_5fname_24',['get_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a07b3121225df74a97d4e0fa0a21d975d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fscope_5ftype_25',['get_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a19bf0bb6d8683863c69323a18e9a07b6',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcustom_5ftype_26',['get_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a32917b3e87af4369381c2a31b46addc0',1,'parselib::transforms::type_collector::TypeCollector']]], + ['get_5felement_5ftype_27',['get_element_type',['../classparselib_1_1primitives_1_1array.html#a3e18735026d16691581bd45b57b8cd9c',1,'parselib::primitives::array']]], + ['get_5ffields_5fwith_5finstantiation_28',['get_fields_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a55218273d190567157d774b11890a65a',1,'parselib::transforms::node::TypeDefType']]], + ['get_5fids_5fin_5ftree_29',['get_ids_in_tree',['../namespaceparselib_1_1utils.html#a5b48f06484e258e08e9b31b96bbd1e59',1,'parselib::utils']]], + ['get_5fids_5fin_5ftree_5fdfs_30',['get_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#aae0d251da6c925b459a5433e8fd88385',1,'parselib::utils']]], + ['get_5fids_5fin_5ftree_5ftypes_31',['get_ids_in_tree_types',['../namespaceparselib_1_1utils.html#a97f65374547819e9cbc441cc925fda69',1,'parselib::utils']]], + ['get_5fmodule_5fname_5fin_5fportbinding_32',['get_module_name_in_portbinding',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a837665b6e0efa095990e7cf49467225f',1,'parselib::transforms::portbinding_recollect::PortbindingRecollect']]], + ['get_5fport_5fbindings_33',['get_port_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#afd907462163f94dc916395ca2008956a',1,'parselib::transforms::type_collector::TypeCollector']]], + ['get_5fport_5fname_5fin_5fportbinding_34',['get_port_name_in_portbinding',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a8270be5744657682f60aaef09dfd0274',1,'parselib::transforms::portbinding_recollect::PortbindingRecollect']]], + ['get_5fprefix_35',['get_prefix',['../classhnode_1_1name__serve.html#a6ade23e83a345931fa0ad7145d1dc923',1,'hnode::name_serve::get_prefix()'],['../classhnode_1_1newname__map__t.html#aa069091237589c4c207faaa6676f9687',1,'hnode::newname_map_t::get_prefix()']]], + ['get_5fprimitive_36',['get_primitive',['../classparselib_1_1primitives_1_1Primitive.html#aa93bba180e53aed40ea4172f38ae5fec',1,'parselib::primitives::Primitive']]], + ['get_5fprimitive_5fname_5fdict_37',['get_primitive_name_dict',['../classparselib_1_1primitives_1_1Primitive.html#a72d2f57df32b0f4fe708041c6aaec509',1,'parselib::primitives::Primitive']]], + ['get_5fsense_5flist_38',['get_sense_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab2b21cdb65f4e11ee97259c236f6ab72',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5ftree_5ftypes_39',['get_tree_types',['../namespaceparselib_1_1utils.html#a060da3c28be03f32c917d092e262a93c',1,'parselib::utils']]], + ['get_5ftype_40',['get_type',['../classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html#a60ff00ad22dda62cee23e0b8aefb5c3a',1,'parselib::transforms::top_down::VariableBindings']]], + ['getallbaseclasses_41',['getAllBaseClasses',['../namespacesc__ast__matchers_1_1utils.html#a01265a86f775f3e9e458372f52ef031c',1,'sc_ast_matchers::utils']]], + ['getallbaseclassnames_42',['getAllBaseClassNames',['../namespacesc__ast__matchers_1_1utils.html#a9783053a358476470de028a34baed544',1,'sc_ast_matchers::utils']]], + ['getallpathinfo_43',['getAllPathInfo',['../classsystemc__clang_1_1SplitCFG.html#a9f9fe184f38df0e3684248a18920569d',1,'systemc_clang::SplitCFG']]], + ['getargfields_44',['getArgFields',['../classTemplateParametersMatcher.html#af9dc96194c8ff2e21994bcf37a1f3c41',1,'TemplateParametersMatcher']]], + ['getarglist_45',['getArgList',['../classsystemc__clang_1_1NotifyContainer.html#ae81acefda1a851a62a5536b7c35a8c49',1,'systemc_clang::NotifyContainer::getArgList()'],['../classsystemc__clang_1_1WaitContainer.html#ad3f5160b60f554caa6f0b388db241722',1,'systemc_clang::WaitContainer::getArgList()']]], + ['getargstring_46',['getArgString',['../classsystemc__clang_1_1NotifyContainer.html#a3b11056c35cdbca21b1babd231e9a57d',1,'systemc_clang::NotifyContainer::getArgString()'],['../classsystemc__clang_1_1WaitContainer.html#a382669e24b21f47293c076edb476f59f',1,'systemc_clang::WaitContainer::getArgString()']]], + ['getargumentname_47',['getArgumentName',['../classsystemc__clang_1_1FindArgument.html#aed1288c3f991e56b20c161328f4aee37',1,'systemc_clang::FindArgument::getArgumentName()'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6f133dd6587f8374c3f3cd54d4d7e881',1,'systemc_clang::GlobalSuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a20267391120384f5f3473a224716c468',1,'systemc_clang::SuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1Utility.html#a2b89b2bd4d7a3f10974b8904c1798921',1,'systemc_clang::Utility::getArgumentName()']]], + ['getarraydimension_48',['getArrayDimension',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a04ffe18b47e0526bc72d523764f9cf01',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getarrayinstanceindex_49',['getArrayInstanceIndex',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a8caeed19d064a60af5447e3b3b2bc7b7',1,'sc_ast_matchers::utils::array_type']]], + ['getarraymemberexprname_50',['getArrayMemberExprName',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#affa9a73bdbbac2e524a3e6babc1cbfd3',1,'sc_ast_matchers::utils::array_type']]], + ['getarrayparameters_51',['getArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a28162f2cd35d5d9f58bb6f6114ba3664',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getarraysizes_52',['getArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a31ec55da91eed85530fcb927202e0854',1,'sc_ast_matchers::ModuleInstanceType::getArraySizes()'],['../classsystemc__clang_1_1PortDecl.html#abbde883d313575e5ed15ee816a4947a5',1,'systemc_clang::PortDecl::getArraySizes()']]], + ['getarraysubscripts_53',['getArraySubscripts',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#aaceb5ab834462ecaf2da90c3b1da1794',1,'sc_ast_matchers::utils::array_type']]], + ['getarraytype_54',['getArrayType',['../classsystemc__clang_1_1PortDecl.html#a43ca64dccbd062324c2abc5963154546',1,'systemc_clang::PortDecl']]], + ['getascxxrecorddecl_55',['getAsCXXRecordDecl',['../classsystemc__clang_1_1FindConstructor.html#a17136833a5c8cad1d873d2bb84a150cb',1,'systemc_clang::FindConstructor']]], + ['getasfielddecl_56',['getAsFieldDecl',['../classsystemc__clang_1_1PortDecl.html#a92bfdf72e9248a8a590a2b027df750d2',1,'systemc_clang::PortDecl']]], + ['getastnode_57',['getASTNode',['../classsystemc__clang_1_1EventContainer.html#aea0cda4c60b882813cb49d5f70f5549b',1,'systemc_clang::EventContainer::getASTNode()'],['../classsystemc__clang_1_1EventDecl.html#a91e2244638f1ce2d7b90eb9a836e3f81',1,'systemc_clang::EventDecl::getASTNode()'],['../classsystemc__clang_1_1NotifyContainer.html#a645f34bea02fe0e3d770696a2da1b910',1,'systemc_clang::NotifyContainer::getASTNode()'],['../classsystemc__clang_1_1SignalDecl.html#a66b8f2838d8af4f850c87e58ad1fd295',1,'systemc_clang::SignalDecl::getASTNode()'],['../classsystemc__clang_1_1WaitContainer.html#a6dcbd3a3b98c33634f3a17075245acd1',1,'systemc_clang::WaitContainer::getASTNode()']]], + ['getasvardecl_58',['getAsVarDecl',['../classsystemc__clang_1_1PortDecl.html#a2500d6bc9d55fc9ebd369958b262f514',1,'systemc_clang::PortDecl']]], + ['getbaseinstances_59',['getBaseInstances',['../classsystemc__clang_1_1ModuleInstance.html#aa5bbdf019fe555d3155c9ffb110a9bc6',1,'systemc_clang::ModuleInstance']]], + ['getblock_60',['getBlock',['../classsystemc__clang_1_1SusCFG.html#a5896f7a1e1132bfea080ff478698f8c1',1,'systemc_clang::SusCFG']]], + ['getblockid_61',['getBlockID',['../classsystemc__clang_1_1SplitCFGBlock.html#a9ab1b3f2cc94ffb3b5951dfb5e6d72d6',1,'systemc_clang::SplitCFGBlock::getBlockID()'],['../classsystemc__clang_1_1SusCFG.html#a87b1bd459e23e5a90f714167047d9def',1,'systemc_clang::SusCFG::getBlockID()']]], + ['getblockidx_62',['getBlockIdx',['../classsystemc__clang_1_1GPUMacro.html#aa93a604a0d682d38ea524d6ee2bc79d6',1,'systemc_clang::GPUMacro']]], + ['getblockidy_63',['getBlockIdy',['../classsystemc__clang_1_1GPUMacro.html#ad2c027b2c20fe2eafb882b4087ff265e',1,'systemc_clang::GPUMacro']]], + ['getblockidz_64',['getBlockIdz',['../classsystemc__clang_1_1GPUMacro.html#ace526615dea7ed936e25a6ecf93ea138',1,'systemc_clang::GPUMacro']]], + ['getcalleearraysubscripts_65',['getCalleeArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#a7da5517adff2b424a37aa0a9c8ea4bba',1,'systemc_clang::PortBinding']]], + ['getcalleeinstancename_66',['getCalleeInstanceName',['../classsystemc__clang_1_1PortBinding.html#a1376ee922434c1d318027bf5623c936b',1,'systemc_clang::PortBinding']]], + ['getcalleeportname_67',['getCalleePortName',['../classsystemc__clang_1_1PortBinding.html#a056c88fb1c71198441be3779e9c1ff12',1,'systemc_clang::PortBinding']]], + ['getcallerarraysubscripts_68',['getCallerArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#aa0ad3a4de12bf03fd0382f0f8fb2c0dc',1,'systemc_clang::PortBinding']]], + ['getcallercallee_69',['getCallerCallee',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#a8ada1a118d02f99757cd6d4919d4a165',1,'sc_ast_matchers::CallerCalleeMatcher']]], + ['getcallerinstancename_70',['getCallerInstanceName',['../classsystemc__clang_1_1PortBinding.html#a4bd0428a8f23be87f89e7cda084139a3',1,'systemc_clang::PortBinding']]], + ['getcallerinstancetypename_71',['getCallerInstanceTypeName',['../classsystemc__clang_1_1PortBinding.html#a31718873d60c8a69ef3357b0fc1d3fca',1,'systemc_clang::PortBinding']]], + ['getcallermemberexpr_72',['getCallerMemberExpr',['../classsystemc__clang_1_1PortBinding.html#af965152cec89e6203a0b8c2aa4669cdf',1,'systemc_clang::PortBinding']]], + ['getcallerportarraysubscripts_73',['getCallerPortArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#ad83753efdd44051cfa710bfa00d57084',1,'systemc_clang::PortBinding']]], + ['getcallerportname_74',['getCallerPortName',['../classsystemc__clang_1_1PortBinding.html#af2aa9c5d2455ab06f1661cd76ded189f',1,'systemc_clang::PortBinding']]], + ['getcfgblock_75',['getCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html#a6694778d50cad2c763c7d81522d6a359',1,'systemc_clang::SplitCFGBlock']]], + ['getchildblocklist_76',['getChildBlockList',['../classsystemc__clang_1_1SusCFG.html#a6aa20b36799667e001b7633a8790e43a',1,'systemc_clang::SusCFG']]], + ['getchildren_77',['getChildren',['../classsystemc__clang_1_1Tree.html#a970c6343c7021e22d7b7fb5fb3fc89a4',1,'systemc_clang::Tree']]], + ['getclassnamefromdecl_78',['getClassNameFromDecl',['../namespacesc__ast__matchers_1_1utils.html#ac6934ca2b8299e80ee1a99e7c38b0535',1,'sc_ast_matchers::utils']]], + ['getclockports_79',['getClockPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a0ad4061f0b89541c2291e657c0bc1fed',1,'sc_ast_matchers::PortMatcher']]], + ['getconfluenceblocks_80',['getConfluenceBlocks',['../classsystemc__clang_1_1SplitCFG.html#acfeba58a804b2b61d7b94a9458c7df33',1,'systemc_clang::SplitCFG']]], + ['getconstantarraysizes_81',['getConstantArraySizes',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a7c57428836f641635c3d9bef101f81c0',1,'sc_ast_matchers::utils::array_type']]], + ['getconstructordecl_82',['getConstructorDecl',['../classsystemc__clang_1_1FindConstructor.html#a21fbf7421f588f2b35d4b3ea51345ca2',1,'systemc_clang::FindConstructor::getConstructorDecl()'],['../classsystemc__clang_1_1ModuleInstance.html#a9d2e6ca05a0887949c41c6f0d65f477b',1,'systemc_clang::ModuleInstance::getConstructorDecl()']]], + ['getconstructorstmt_83',['getConstructorStmt',['../classsystemc__clang_1_1FindConstructor.html#a77820daaffd189affdc07bc4ec623e5c',1,'systemc_clang::FindConstructor::getConstructorStmt()'],['../classsystemc__clang_1_1ModuleInstance.html#a4f25ebe3f9749062e64f3c5246ae5991',1,'systemc_clang::ModuleInstance::getConstructorStmt()']]], + ['getcontext_84',['getContext',['../classsystemc__clang_1_1SystemCConsumer.html#ad83b670a41e54b55954ec9fa305dce99',1,'systemc_clang::SystemCConsumer']]], + ['getcputime_85',['getCPUTime',['../classsystemc__clang_1_1GPUMacro.html#abe0492628920eac96ed31fac90193917',1,'systemc_clang::GPUMacro']]], + ['getdata_86',['getData',['../classsystemc__clang_1_1TreeNode.html#a1b2ccf587f21fe34d12d59a97c9308b6',1,'systemc_clang::TreeNode']]], + ['getdataptr_87',['getDataPtr',['../classsystemc__clang_1_1TreeNode.html#afccbbc5b1e0642f6cb19bd72953a18a1',1,'systemc_clang::TreeNode']]], + ['getedge_88',['getEdge',['../classsystemc__clang_1_1Graph.html#a8a750db74890cad002240e0734da43d9',1,'systemc_clang::Graph::getEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a46614dc9af2eeea6ae6d7bcbf700f73d',1,'systemc_clang::Graph::getEdge(int, int)']]], + ['getedgeid_89',['getEdgeID',['../classsystemc__clang_1_1Graph.html#a2c243b93d640a155b9b885b46c6d4dba',1,'systemc_clang::Graph::getEdgeID(Edge *)'],['../classsystemc__clang_1_1Graph.html#af4e3ee0614273a2609a098c318cbefbc',1,'systemc_clang::Graph::getEdgeID(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a5b37cba4d4dc7353196acf907145710f',1,'systemc_clang::Graph::getEdgeID(int, int)']]], + ['getedgesfromdest_90',['getEdgesFromDest',['../classsystemc__clang_1_1Graph.html#abc844b7bc921741a0dc6575c31b22478',1,'systemc_clang::Graph::getEdgesFromDest(int)'],['../classsystemc__clang_1_1Graph.html#aca79bee6b172c861e80f6f757e90095b',1,'systemc_clang::Graph::getEdgesFromDest(Node *)']]], + ['getedgesfromsource_91',['getEdgesFromSource',['../classsystemc__clang_1_1Graph.html#a27fde3fe501aa414188b09f84ac6a923',1,'systemc_clang::Graph::getEdgesFromSource(int)'],['../classsystemc__clang_1_1Graph.html#a2a91f74bfc51cf689f49f4cadc5b7eca',1,'systemc_clang::Graph::getEdgesFromSource(Node *)']]], + ['getelements_92',['getElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a4a51715ef5589ee1f15d9a954dfcb253',1,'systemc_clang::SplitCFGBlock']]], + ['getentrycxxrecorddecl_93',['getEntryCXXRecordDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#ac446832a87870fc13179e8b713574081',1,'systemc_clang::FindEntryFunctions']]], + ['getentryfunction_94',['getEntryFunction',['../classsystemc__clang_1_1ProcessDecl.html#ad6d08ebd6ae33a0d3b22e2a73b28abbb',1,'systemc_clang::ProcessDecl']]], + ['getentryfunctioncontainer_95',['getEntryFunctionContainer',['../classsystemc__clang_1_1ModuleInstance.html#af1940f1279d238e1f0105724e1a45fab',1,'systemc_clang::ModuleInstance']]], + ['getentryfunctiongpumacromap_96',['getEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#aa0c07dfe1669cce2d0da6869fc2eef88',1,'systemc_clang::Model']]], + ['getentryfunctionmacromap_97',['getEntryFunctionMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5c29b2d9a82908fc99212b66a31dd385',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getentryfunctions_98',['getEntryFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#af7a21991fe8d8e3b5789c28dcb4001bc',1,'systemc_clang::FindEntryFunctions']]], + ['getentrymethod_99',['getEntryMethod',['../classsystemc__clang_1_1FindNotify.html#a90a52c785b20f668e81735395fe215ad',1,'systemc_clang::FindNotify::getEntryMethod()'],['../classsystemc__clang_1_1FindWait.html#a581ee769249059fac4a9a7a8b488268b',1,'systemc_clang::FindWait::getEntryMethod()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a86410e2fd78a84d07855d2ad05696839',1,'systemc_clang::EntryFunctionContainer::getEntryMethod()'],['../classsystemc__clang_1_1NotifyContainer.html#a6e89aa20250b34664883255c056f38af',1,'systemc_clang::NotifyContainer::getEntryMethod()'],['../classsystemc__clang_1_1WaitContainer.html#a4937c32be15f2cfa02fcf500afea2464',1,'systemc_clang::WaitContainer::getEntryMethod()']]], + ['getentrymethoddecl_100',['getEntryMethodDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#aa0f2f247b3a02230180881351537a0a5',1,'systemc_clang::FindEntryFunctions::getEntryMethodDecl()'],['../classsystemc__clang_1_1ProcessDecl.html#ad036d278d1641de2ae93e508ac08d76a',1,'systemc_clang::ProcessDecl::getEntryMethodDecl()']]], + ['getentryname_101',['getEntryName',['../classsystemc__clang_1_1FindEntryFunctions.html#ab69b3a5704295d3655b6f77405506b1d',1,'systemc_clang::FindEntryFunctions']]], + ['getevent_102',['getEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#adf39018ee2f86a522a3e81f12fbb429e',1,'systemc_clang::SuspensionAutomata']]], + ['geteventmap_103',['getEventMap',['../classsystemc__clang_1_1FindGlobalEvents.html#a281cab7140c2ede50bfc886adabf240e',1,'systemc_clang::FindGlobalEvents']]], + ['geteventmaptype_104',['getEventMapType',['../classsystemc__clang_1_1Model.html#a2b9df68d993f0d43a194a7a1885d6565',1,'systemc_clang::Model']]], + ['geteventname_105',['getEventName',['../classsystemc__clang_1_1EventContainer.html#a5732df92c0503f829e00c37fbbbd867e',1,'systemc_clang::EventContainer::getEventName()'],['../classsystemc__clang_1_1State.html#a2bc5bfac607d8519452737899137b4c0',1,'systemc_clang::State::getEventName()']]], + ['geteventnames_106',['getEventNames',['../classsystemc__clang_1_1FindEvents.html#abc963179eed24f8a7bf2e79d1b50e08b',1,'systemc_clang::FindEvents::getEventNames()'],['../classsystemc__clang_1_1FindGlobalEvents.html#a01ea433758be4c3b697b7174b61a4a0f',1,'systemc_clang::FindGlobalEvents::getEventNames()']]], + ['getfalseid_107',['getFalseId',['../structsystemc__clang_1_1SupplementaryInfo.html#a4b71b21bd797c5134f3651649f4bf12c',1,'systemc_clang::SupplementaryInfo']]], + ['getfalselength_108',['GetFalseLength',['../classsystemc__hdl_1_1HDLThread.html#a15f420713968bb596598f220a41d4fa8',1,'systemc_hdl::HDLThread']]], + ['getfalsepath_109',['getFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a5fac27cb9292450b70d01a9c52d820f8',1,'systemc_clang::SplitCFGPathInfo']]], + ['getfielddecls_110',['getFieldDecls',['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#a01800d7c7ee463632ab2ed7431083696',1,'sc_ast_matchers::FindMemberFieldMatcher']]], + ['getfields_111',['getFields',['../classTemplateParametersMatcher.html#a4ae346305db4ff1dde8a5bf873daca48',1,'TemplateParametersMatcher']]], + ['getforstmtgpumacromap_112',['getForStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a3f0922dcab5a57b789e4775040a6eb09',1,'systemc_clang::FindGPUMacro']]], + ['getfoundmoduledeclarations_113',['getFoundModuleDeclarations',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a2624f76f8f343fb607364da16287b5ce',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['getfromid_114',['getFromId',['../classsystemc__clang_1_1Edge.html#a8649bc1063d1be9387d3909b0ba36960',1,'systemc_clang::Edge']]], + ['getfunctionname_115',['getFunctionName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#aedbf10db1bd1341f8758beea7bd08ec8',1,'sc_ast_matchers::utils::NamespaceMatcher']]], + ['getglobalsauto_116',['getGlobalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae953d95b5a85a8b6593bbabea84066f6',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getgputime_117',['getGPUTime',['../classsystemc__clang_1_1GPUMacro.html#aa6a4895dd19fc7b3000cf3b280899967',1,'systemc_clang::GPUMacro']]], + ['getgsauto_118',['getGSauto',['../classsystemc__clang_1_1Model.html#a251e194b6a43ca2fb10fd792826c02d2',1,'systemc_clang::Model::getGSauto()'],['../classTimeAnalysis.html#a1136dd058565f4952d4182fb321971ac',1,'TimeAnalysis::getGSauto()']]], + ['getid_119',['getId',['../classsystemc__clang_1_1Node.html#a3c7b8ccf4dab44216b997c5f63f11d9f',1,'systemc_clang::Node::getId()'],['../classsystemc__clang_1_1Edge.html#abfe372bacca411d0b654a0c83bed8b69',1,'systemc_clang::Edge::getId()']]], + ['getiinterfaces_120',['getIInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a8a65771018618ea912ea464b91a02df0',1,'systemc_clang::ModuleInstance']]], + ['getinclassevents_121',['getInClassEvents',['../classsystemc__clang_1_1FindEvents.html#aec2eecc4a7f729aba15fbcd8095a757f',1,'systemc_clang::FindEvents']]], + ['getincomingtransitions_122',['getIncomingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a04b297442b832b6ac447b37301a351d5',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getinoutports_123',['getInOutPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a52705757b2d269c595a82cbcfbf222da',1,'sc_ast_matchers::PortMatcher']]], + ['getinputinterfaces_124',['getInputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a4d4c18ed22cb13db65adf9f227216449',1,'systemc_clang::FindTLMInterfaces']]], + ['getinputoutputinterfaces_125',['getInputOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#abbd673e1caef50580434b32dccf739b5',1,'systemc_clang::FindTLMInterfaces']]], + ['getinputports_126',['getInputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a9aaa481ddbedcc55bfa05e3b1ad70025',1,'sc_ast_matchers::PortMatcher']]], + ['getinputstreamports_127',['getInputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a33b8250042cc1a9e7f03814d0da4cfc6',1,'sc_ast_matchers::PortMatcher::getInputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a73225cd120f34fc75751ddc3f238fbec',1,'systemc_clang::ModuleInstance::getInputStreamPorts()']]], + ['getinstance_128',['getInstance',['../classsystemc__clang_1_1Model.html#aaa520d4751e3356adf95d22da04efb3b',1,'systemc_clang::Model::getInstance(const std::string &instance_name)'],['../classsystemc__clang_1_1Model.html#a38145f5de77de05c68841ea62ea8fb2e',1,'systemc_clang::Model::getInstance(Decl *instance_decl)']]], + ['getinstanceconstructorname_129',['getInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a87d73335daf2c006d847c91dd4cfb55b',1,'systemc_clang::PortBinding']]], + ['getinstancedecl_130',['getInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a93c98dd130f7c4d3209c08a94c766bc9',1,'systemc_clang::ModuleInstance::getInstanceDecl()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#aacd84f77e724125efd006bd06ee2dadd',1,'sc_ast_matchers::ModuleInstanceType::getInstanceDecl()']]], + ['getinstancefielddecl_131',['getInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2ed203f154013a3e20e7cee3091d6d3c',1,'systemc_clang::ModuleInstance']]], + ['getinstancefunctionsautomap_132',['getInstanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8d0d88d3ae3c4ecd0c8b09d324b27b6c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getinstanceinfo_133',['getInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#a8b088227c84f35c712c7776a0d281dfb',1,'systemc_clang::ModuleInstance']]], + ['getinstancelist_134',['getInstanceList',['../classsystemc__clang_1_1ModuleInstance.html#ab2c3b23d75606ee05f3ba6a07a95da2f',1,'systemc_clang::ModuleInstance']]], + ['getinstancelistmodulemap_135',['getInstanceListModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a535e45334a681317911a5b3d9e1d6f0e',1,'systemc_clang::FindNetlist']]], + ['getinstanceliteral_136',['getInstanceLiteral',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a13c05a3f41b6c3cb7fa3586eb17e7300',1,'sc_ast_matchers::InstanceArgumentMatcher']]], + ['getinstancemap_137',['getInstanceMap',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae5f29389e22482e77f7b28b5b68efb9f',1,'sc_ast_matchers::InstanceMatcher']]], + ['getinstancematcher_138',['getInstanceMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a63b5effd9522f040e7707578543e6e4a',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['getinstancemodulemap_139',['getInstanceModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a8d35235664b5b29582fd67105e7f6557',1,'systemc_clang::FindNetlist']]], + ['getinstancename_140',['getInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a8c053ec6f0756cef1f68102ef1d9f145',1,'systemc_clang::ModuleInstance']]], + ['getinstancenames_141',['getInstanceNames',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a1d1199df1b439afa704b127d072ae859',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getinstanceportsignalmap_142',['getInstancePortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a118b0dba9c558682f329ee385481baad',1,'systemc_clang::FindNetlist']]], + ['getinstances_143',['getInstances',['../classsystemc__clang_1_1Model.html#ac1ad5e0f23656450e2a8183f6d7889c4',1,'systemc_clang::Model']]], + ['getinstancesautomap_144',['getInstanceSautoMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a53cf16189b7e335b1a6fc63eb3ea5bac',1,'systemc_clang::EntryFunctionContainer']]], + ['getinstancesuscfgmap_145',['getInstanceSusCFGMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a6658d0969d8077a72f3fa061910abf39',1,'systemc_clang::EntryFunctionContainer']]], + ['getinstancetype_146',['getInstanceType',['../classsystemc__clang_1_1PortBinding.html#a809da824a6c1db091a09c383609eeda9',1,'systemc_clang::PortBinding']]], + ['getinstancetypedecl_147',['getInstanceTypeDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a0079713b8619693c428a7aa7624ecda2',1,'sc_ast_matchers::ModuleInstanceType::getInstanceTypeDecl()'],['../classsystemc__clang_1_1PortBinding.html#aca6f62504ab4bf4cf2f0a2f85e114e38',1,'systemc_clang::PortBinding::getInstanceTypeDecl()']]], + ['getinstancevardecl_148',['getInstanceVarDecl',['../classsystemc__clang_1_1ModuleInstance.html#ac33228f71472ad6a5a2247f74bb81757',1,'systemc_clang::ModuleInstance']]], + ['getinstancevarname_149',['getInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#a997d661b3daac03cbd5dca6f04009a37',1,'systemc_clang::PortBinding']]], + ['getiointerfaces_150',['getIOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#ad74d4af3ead7efed17920104b7b81cc0',1,'systemc_clang::ModuleInstance']]], + ['getioports_151',['getIOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43aa2538cb4a240f89e395336009dc0a',1,'systemc_clang::ModuleInstance']]], + ['getiports_152',['getIPorts',['../classsystemc__clang_1_1ModuleInstance.html#af63180850f4b93df82425e5e34a96aa0',1,'systemc_clang::ModuleInstance']]], + ['getmemberarraysubscriptexpr_153',['getMemberArraySubscriptExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a5156ccf8a27e610c7e8fa1c1660f7d96',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['getmemberexprcallexpr_154',['getMemberExprCallExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a4946d92d04a0c8d4a6362bbf7f9cca49',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['getmemberexprwithoutcall_155',['getMemberExprWithoutCall',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a26a38441e8ee2bd68081f450b593d0ca',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['getmoduleclassdecl_156',['getModuleClassDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2b475e9a754dcdf0d0cd905dcceb5ff4',1,'systemc_clang::ModuleInstance']]], + ['getmoduleinitializernames_157',['getModuleInitializerNames',['../namespacesc__ast__matchers_1_1utils.html#a5cf3a35398c44b8bfdcad5d92f8cfcf9',1,'sc_ast_matchers::utils']]], + ['getname_158',['getName',['../classsystemc__clang_1_1EntryFunctionContainer.html#a8c4e6135b1fc18475ffd1ed6351925ea',1,'systemc_clang::EntryFunctionContainer::getName()'],['../classsystemc__clang_1_1EventDecl.html#a0bd62f5c6e79ccf9ea234f974858c18d',1,'systemc_clang::EventDecl::getName()'],['../classsystemc__clang_1_1InterfaceDecl.html#ab3ebb6eb42b3dc53169436ef3cc7d1d9',1,'systemc_clang::InterfaceDecl::getName()'],['../classsystemc__clang_1_1ModuleInstance.html#a6f7bac0c669433c2cf4ea2846b43606c',1,'systemc_clang::ModuleInstance::getName()'],['../classsystemc__clang_1_1NotifyCalls.html#a2a85db2b0d49a7847def4287b11f64c4',1,'systemc_clang::NotifyCalls::getName()'],['../classsystemc__clang_1_1PortDecl.html#a407dcd68862014e89d9f9666e4dc6231',1,'systemc_clang::PortDecl::getName()'],['../classsystemc__clang_1_1ProcessDecl.html#a2152f18924607183c687554f616ee945',1,'systemc_clang::ProcessDecl::getName()'],['../classsystemc__clang_1_1SignalDecl.html#ae0d63c75effbde4b0547794725d6e01f',1,'systemc_clang::SignalDecl::getName()'],['../classsystemc__clang_1_1WaitCalls.html#a3bd60c79084a4db2d5d0e53a938309f1',1,'systemc_clang::WaitCalls::getName()']]], + ['getname_159',['getname',['../classhnode_1_1hNode.html#a3a514db7343d00d4371a07a4714d5ad1',1,'hnode::hNode']]], + ['getnamespacename_160',['getNamespaceName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#abfc484d445f420770ec35d65ab977d3c',1,'sc_ast_matchers::utils::NamespaceMatcher']]], + ['getnestedmoduleinstances_161',['getNestedModuleInstances',['../classsystemc__clang_1_1ModuleInstance.html#a58b6305e7ca18d172ddbb9887b06d572',1,'systemc_clang::ModuleInstance']]], + ['getnextstate_162',['getNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a24215fc4634952cb7061e344dbea2a02',1,'systemc_clang::SplitCFGBlock']]], + ['getnode_163',['getNode',['../classsystemc__clang_1_1Graph.html#a7bd159419fd64439460675934b6a998e',1,'systemc_clang::Graph']]], + ['getnodeid_164',['getNodeID',['../classsystemc__clang_1_1Graph.html#a1a7dd9bf6fae1e4313e953da79879547',1,'systemc_clang::Graph']]], + ['getnotifycalllist_165',['getNotifyCallList',['../classsystemc__clang_1_1FindNotify.html#aea9758772262f7d6600895170e250d0d',1,'systemc_clang::FindNotify::getNotifyCallList()'],['../classsystemc__clang_1_1NotifyCalls.html#a04b92e1b2cf254c553ef603a3096e17a',1,'systemc_clang::NotifyCalls::getNotifyCallList()']]], + ['getnotifycalls_166',['getNotifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9936135c483e438c70202bbd7e8371de',1,'systemc_clang::EntryFunctionContainer']]], + ['getnotifyeventname_167',['getNotifyEventName',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a7961bf67e1bf59f3c41c8a52f3de8c66',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getnumargs_168',['getNumArgs',['../classsystemc__clang_1_1NotifyContainer.html#a7cfba08630a3b6647c609e10affd1e76',1,'systemc_clang::NotifyContainer::getNumArgs()'],['../classsystemc__clang_1_1WaitContainer.html#ae43e006099b1af0653cfd8275290e7c1',1,'systemc_clang::WaitContainer::getNumArgs()']]], + ['getnumevents_169',['getNumEvents',['../classsystemc__clang_1_1Model.html#ad355f8ff01f39fd6338f65dc5c106a05',1,'systemc_clang::Model']]], + ['getnuminstances_170',['getNumInstances',['../classsystemc__clang_1_1FindNetlist.html#ad9356ee3634a7ab8f6df78eb952cc374',1,'systemc_clang::FindNetlist::getNumInstances()'],['../classsystemc__clang_1_1ModuleInstance.html#ae850438fbe4698f80e35ab3d343472bd',1,'systemc_clang::ModuleInstance::getNumInstances()']]], + ['getnumnotifycalls_171',['getNumNotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html#a31dceb473a9f326f61da1e8b20b6d908',1,'systemc_clang::NotifyCalls']]], + ['getnumofelements_172',['getNumOfElements',['../classsystemc__clang_1_1SplitCFGBlock.html#af9665339766ba12d9ecc772ee78be8ae',1,'systemc_clang::SplitCFGBlock']]], + ['getointerfaces_173',['getOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a78afbabd5f4e05572feab136402c3c1e',1,'systemc_clang::ModuleInstance']]], + ['getopc_174',['getopc',['../classhnode_1_1hNode.html#a2321b495c12ccc2cb4aa002fb3b01371',1,'hnode::hNode']]], + ['getoports_175',['getOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43eba79f18e8c170fbad6b1f58497e0e',1,'systemc_clang::ModuleInstance']]], + ['getotherfunctions_176',['getOtherFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#a6f7589522be2c6e22585a950afc07d73',1,'systemc_clang::FindEntryFunctions']]], + ['getothervars_177',['getOtherVars',['../classsc__ast__matchers_1_1PortMatcher.html#a1b9e80abf23554b2ea5fbc5e014197c7',1,'sc_ast_matchers::PortMatcher::getOtherVars()'],['../classsystemc__clang_1_1ModuleInstance.html#a0e3b99f52a403a903ce960b75da353c2',1,'systemc_clang::ModuleInstance::getOtherVars()']]], + ['getoutgoingtransitions_178',['getOutgoingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f17d7f6c359ed983d9d7a4ef643f904',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getoutputinterfaces_179',['getOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#af1af50f52696772f60128dd5311e987e',1,'systemc_clang::FindTLMInterfaces']]], + ['getoutputports_180',['getOutputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#aa605f5aced640939f0152311d221d009',1,'sc_ast_matchers::PortMatcher']]], + ['getoutputstreamports_181',['getOutputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a6fdcd78f0d6757dedaaef047f7432333',1,'sc_ast_matchers::PortMatcher::getOutputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a5f1fafe86119ded33a0677ba4b3546c4',1,'systemc_clang::ModuleInstance::getOutputStreamPorts()']]], + ['getparent_182',['getParent',['../classsystemc__clang_1_1TreeNode.html#a2ce70274be535f34483bd969ebf32f93',1,'systemc_clang::TreeNode']]], + ['getparentblockid_183',['getParentBlockID',['../classsystemc__clang_1_1SusCFG.html#ac9b31dde5f5087e9c0d8c21fb6ef203c',1,'systemc_clang::SusCFG']]], + ['getparentcfgblock_184',['getParentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a6566e476db1533a07d8743c2edc91626',1,'systemc_clang::SusCFG']]], + ['getparentdecl_185',['getParentDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a324d09869eef75753463a529e32d6929',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getparentsuscfgblock_186',['getParentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a62f906a5658d0a1eea0c3af5900a60cf',1,'systemc_clang::SusCFG']]], + ['getparmfields_187',['getParmFields',['../classTemplateParametersMatcher.html#a7883f29397d44c75d482ddf4ac5a6381',1,'TemplateParametersMatcher']]], + ['getpathid_188',['getPathId',['../structsystemc__clang_1_1SupplementaryInfo.html#a3c56e04cec0cd4487d324f7b84a06f03',1,'systemc_clang::SupplementaryInfo']]], + ['getpathinfo_189',['getPathInfo',['../classsystemc__clang_1_1SplitCFG.html#abc24a2c133cd24e636f271b8077bed10',1,'systemc_clang::SplitCFG']]], + ['getpathsfound_190',['getPathsFound',['../classsystemc__clang_1_1SplitCFG.html#a78cd9aa887a86043285e036615422f5a',1,'systemc_clang::SplitCFG']]], + ['getportbindings_191',['getPortBindings',['../classsystemc__clang_1_1ModuleInstance.html#a73b6367395708b4e5bc1383c9b450900',1,'systemc_clang::ModuleInstance']]], + ['getportname_192',['getPortName',['../classsystemc__clang_1_1PortBinding.html#a13f4df2268461d2eb2923c81d7fb3cb6',1,'systemc_clang::PortBinding']]], + ['getports_193',['getPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a001d61bbd199579a5320475576cf11c8',1,'sc_ast_matchers::PortMatcher']]], + ['getportsignalmap_194',['getPortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a51222b712d7b801d2e4bbf277e85b834',1,'systemc_clang::FindNetlist']]], + ['getpredblocks_195',['getPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a84e8585af04867b898f585ec34ce7c05',1,'systemc_clang::SusCFG']]], + ['getpredecessors_196',['getPredecessors',['../classsystemc__clang_1_1SplitCFGBlock.html#a7eb992dbf07d5f0c847557616fdc828b',1,'systemc_clang::SplitCFGBlock::getPredecessors()'],['../classsystemc__clang_1_1Node.html#a98cc154cafe1a949c40a72639484a43f',1,'systemc_clang::Node::getPredecessors()']]], + ['getprocessmap_197',['getProcessMap',['../classsystemc__clang_1_1ModuleInstance.html#ae167b8a6c5d9841e4d2ef6663ce6b68d',1,'systemc_clang::ModuleInstance']]], + ['getprocesstype_198',['getProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad8229147a1e4fa479126e3761da2f202',1,'systemc_clang::EntryFunctionContainer']]], + ['getresetedge_199',['getResetEdge',['../classsc__ast__matchers_1_1ResetMatcher.html#a48c7726953c5bd9c208e18fc0bf6527a',1,'sc_ast_matchers::ResetMatcher::getResetEdge()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a714a2d96be7908757edd8c5f191217b8',1,'systemc_clang::EntryFunctionContainer::getResetEdge()']]], + ['getresetsignal_200',['getResetSignal',['../classsc__ast__matchers_1_1ResetMatcher.html#a110961715abcab3a73678f18e7634b63',1,'sc_ast_matchers::ResetMatcher::getResetSignal()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a2fda18f63ad9fc844cd7ef75639fd315',1,'systemc_clang::EntryFunctionContainer::getResetSignal()']]], + ['getresettype_201',['getResetType',['../classsc__ast__matchers_1_1ResetMatcher.html#a2c5f286bc44a932a918f1eadb061cb75',1,'sc_ast_matchers::ResetMatcher']]], + ['getroot_202',['getRoot',['../classsystemc__clang_1_1Tree.html#a968907782bf4b8a7850b5ad12099ce37',1,'systemc_clang::Tree']]], + ['getrootmoduleinstance_203',['getRootModuleInstance',['../classsystemc__clang_1_1Model.html#a04cfa4254df094161b4f8672d6fbac90',1,'systemc_clang::Model']]], + ['getsauto_204',['getSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a295186ac19f69fe8bf49b502e70a25bd',1,'systemc_clang::SuspensionAutomata']]], + ['getscmainfunctiondecl_205',['getSCMainFunctionDecl',['../classsystemc__clang_1_1FindSCMain.html#a2c762425466550af80fef688fa3d2c35',1,'systemc_clang::FindSCMain']]], + ['getsensemap_206',['getSenseMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a343064ab06a578f0f0d855aad1d409e4',1,'systemc_clang::EntryFunctionContainer']]], + ['getsensitivitymap_207',['getSensitivityMap',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a99d19c738a2ae450e9d459ccefdcd4ec',1,'sc_ast_matchers::SensitivityMatcher']]], + ['getsenslists_208',['GetSensLists',['../classsystemc__hdl_1_1HDLConstructorHcode.html#aa30f56ed86fe252a5293a7c52c9372dd',1,'systemc_hdl::HDLConstructorHcode']]], + ['getsignals_209',['getSignals',['../classsc__ast__matchers_1_1PortMatcher.html#a39286bcd036ed97667d3dc86589a7f63',1,'sc_ast_matchers::PortMatcher::getSignals()'],['../classsystemc__clang_1_1ModuleInstance.html#af851f06fc902cd0a3a90b78e2804a16e',1,'systemc_clang::ModuleInstance::getSignals()']]], + ['getsimtime_210',['getSimTime',['../classsystemc__clang_1_1State.html#acf009bf9bd20107ee1220c4315a1222b',1,'systemc_clang::State']]], + ['getsourcemanager_211',['getSourceManager',['../classsystemc__clang_1_1SystemCConsumer.html#a4272ad2c5a6239127aa4cbe6cd27c1a8',1,'systemc_clang::SystemCConsumer']]], + ['getsplitcfgblock_212',['getSplitCFGBlock',['../structsystemc__clang_1_1SupplementaryInfo.html#acdecfa485f4b64d3548b104380b6df25',1,'systemc_clang::SupplementaryInfo']]], + ['getsubmodules_213',['getSubmodules',['../classsc__ast__matchers_1_1PortMatcher.html#a33169f3cd54a4fdb192f3f2f4dc6aadd',1,'sc_ast_matchers::PortMatcher::getSubmodules()'],['../classsystemc__clang_1_1ModuleInstance.html#aed26ab69752330db3c975c94bdce616a',1,'systemc_clang::ModuleInstance::getSubmodules()']]], + ['getsuccblocks_214',['getSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a581f1ab02fa66de70074e2617167214c',1,'systemc_clang::SusCFG']]], + ['getsuccessors_215',['getSuccessors',['../classsystemc__clang_1_1SplitCFGBlock.html#aad19a1285d7babcb7e7e3ba81fcecb78',1,'systemc_clang::SplitCFGBlock::getSuccessors()'],['../classsystemc__clang_1_1Node.html#a60a39d782ae02c37b1fd8475c53b78b1',1,'systemc_clang::Node::getSuccessors()']]], + ['getsusauto_216',['getSusAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9d3d27700a2458a309caf51814374d2e',1,'systemc_clang::EntryFunctionContainer']]], + ['getsuscfg_217',['getSusCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#af746bf192e581ad16eec6883ef1b1474',1,'systemc_clang::EntryFunctionContainer::getSusCFG()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a4da78a5159402bb5380b8a427396ef65',1,'systemc_clang::SuspensionAutomata::getSusCFG()']]], + ['getsuscfgblockgpumacromap_218',['getSusCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa4036307db9207ba72c3ee12602bed21',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getsystemcmodel_219',['getSystemCModel',['../classsystemc__clang_1_1SystemCConsumer.html#ae1eda508d3a15cb37f332205f8127e04',1,'systemc_clang::SystemCConsumer']]], + ['gettemplateargs_220',['getTemplateArgs',['../classsystemc__clang_1_1FindTemplateParameters.html#a39e2a33dbb7a8446e4a51847e3d07896',1,'systemc_clang::FindTemplateParameters']]], + ['gettemplateargtreeptr_221',['getTemplateArgTreePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a6379b187759bbf16c8e378d2716cf3e9',1,'systemc_clang::FindTemplateTypes']]], + ['gettemplateparameters_222',['getTemplateParameters',['../classsystemc__clang_1_1FindTemplateParameters.html#af993cd8c8c54ed5873d73d1bf6b1bb2a',1,'systemc_clang::FindTemplateParameters::getTemplateParameters()'],['../classsystemc__clang_1_1ModuleInstance.html#a2197a3fc55319daf2e643ff0639595fe',1,'systemc_clang::ModuleInstance::getTemplateParameters()']]], + ['gettemplatetype_223',['getTemplateType',['../classsystemc__clang_1_1InterfaceDecl.html#ac9c4e5ff8c8c64f5a61e263e4ab07ba5',1,'systemc_clang::InterfaceDecl::getTemplateType()'],['../classsystemc__clang_1_1PortDecl.html#a97faf57eddf2f20618033bf334301c4c',1,'systemc_clang::PortDecl::getTemplateType()']]], + ['gettemplatetypes_224',['getTemplateTypes',['../classsystemc__clang_1_1SignalDecl.html#abf1d2f397d5de7eab11ccd03fa4e50c6',1,'systemc_clang::SignalDecl']]], + ['getthreadidx_225',['getThreadIdx',['../classsystemc__clang_1_1GPUMacro.html#ab348265f86258f210a9be7bc35f77d13',1,'systemc_clang::GPUMacro']]], + ['getthreadidy_226',['getThreadIdy',['../classsystemc__clang_1_1GPUMacro.html#a0331700e0828b07120ef8f12f340049d',1,'systemc_clang::GPUMacro']]], + ['getthreadidz_227',['getThreadIdz',['../classsystemc__clang_1_1GPUMacro.html#a904d8a5936cfe65ae875ea9b5fd14dc6',1,'systemc_clang::GPUMacro']]], + ['gettime_228',['getTime',['../classsystemc__clang_1_1SuspensionAutomata.html#ae1396c0d87d664b56ea77d0ff2daf89c',1,'systemc_clang::SuspensionAutomata']]], + ['gettimeadvancevector_229',['getTimeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a8eded928724037fb5f09f6c723c776cb',1,'systemc_clang::Edge']]], + ['gettimefortransition_230',['getTimeForTransition',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a99c7a6927d270bdd1086cfba84a3c190',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettoid_231',['getToId',['../classsystemc__clang_1_1Edge.html#a85da4c31db3a91fc4a4907611ac7a85c',1,'systemc_clang::Edge']]], + ['gettopmodule_232',['getTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a1a302f2c0ce93bacfe2287d4e76a537e',1,'systemc_clang::SystemCConsumer']]], + ['gettotalwaits_233',['getTotalWaits',['../classsystemc__clang_1_1WaitCalls.html#ad77764ccdbfc71ac37aac08a734b9e58',1,'systemc_clang::WaitCalls']]], + ['gettransitionsattime_234',['getTransitionsAtTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a9c9a58b29db12a757c11c94cd7ff09e0',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettransitiontimemap_235',['getTransitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f28483e547807bbc4084904594e89cb',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettransporttype_236',['getTransportType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad142848415fb20323f067f922baad102',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettruepath_237',['getTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a71ffcfeba6ed5ed450bf5eef4182d9d6',1,'systemc_clang::SplitCFGPathInfo']]], + ['gettype_238',['getType',['../classsystemc__clang_1_1ProcessDecl.html#a640153a556d93a7163b8161f32c18157',1,'systemc_clang::ProcessDecl']]], + ['gettypename_239',['getTypeName',['../classsystemc__clang_1_1TemplateType.html#a0b6b95ff48738820f98c16d85278ea72',1,'systemc_clang::TemplateType']]], + ['gettypeptr_240',['getTypePtr',['../classsystemc__clang_1_1TemplateType.html#a873bc0e213fb4bb72c467c8fd894013b',1,'systemc_clang::TemplateType']]], + ['getunvisitedsuccessor_241',['getUnvisitedSuccessor',['../classsystemc__clang_1_1SplitCFG.html#a562461795ba3bd2f8b5df7d58ef9bdfd',1,'systemc_clang::SplitCFG']]], + ['getvarname_242',['getVarName',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a004aafc0a8aa3e9232f1fdb142328ef4',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getwaitarg_243',['GetWaitArg',['../classsystemc__hdl_1_1HDLBody.html#a4ad9a852873012e553644d115b23cbc9',1,'systemc_hdl::HDLBody']]], + ['getwaitarg_244',['getWaitArg',['../classsystemc__clang_1_1SplitCFGBlock.html#a329a43c8c5b67318bfe7cf718019aa42',1,'systemc_clang::SplitCFGBlock']]], + ['getwaitargument_245',['getWaitArgument',['../classsystemc__clang_1_1SplitCFG.html#a90f1b58c4c9f717887f7424795a12a66',1,'systemc_clang::SplitCFG']]], + ['getwaitcalls_246',['getWaitCalls',['../classsystemc__clang_1_1FindWait.html#aa4f894b091b8b5b4262ea98804b321b6',1,'systemc_clang::FindWait::getWaitCalls()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a47c3469ecff9e10080f70459cbec7331',1,'systemc_clang::EntryFunctionContainer::getWaitCalls()']]], + ['getwaitlist_247',['getWaitList',['../classsystemc__clang_1_1WaitCalls.html#a48da43f79f4cb4b9383f252db6e1f8bb',1,'systemc_clang::WaitCalls']]], + ['getwaitstmt_248',['getWaitStmt',['../classsystemc__clang_1_1SusCFG.html#a89a0f3c5d082345be8584dd591cf9036',1,'systemc_clang::SusCFG']]], + ['globaleventmaptype_249',['globalEventMapType',['../classsystemc__clang_1_1FindGlobalEvents.html#a79eab5b6e345bec9be45ebffa2ecd665',1,'systemc_clang::FindGlobalEvents']]], + ['globalsuspensionautomata_250',['GlobalSuspensionAutomata',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html',1,'systemc_clang::GlobalSuspensionAutomata'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abb5f142fe7bd8216fd43ac3ba56c38e8',1,'systemc_clang::GlobalSuspensionAutomata::GlobalSuspensionAutomata()']]], + ['globalsuspensionautomata_2ecpp_251',['GlobalSuspensionAutomata.cpp',['../GlobalSuspensionAutomata_8cpp.html',1,'']]], + ['globalsuspensionautomata_2eh_252',['GlobalSuspensionAutomata.h',['../GlobalSuspensionAutomata_8h.html',1,'']]], + ['gpumacro_253',['GPUMacro',['../classsystemc__clang_1_1GPUMacro.html',1,'systemc_clang::GPUMacro'],['../classsystemc__clang_1_1GPUMacro.html#acafbcb39ca1438df64a8a569af3067fd',1,'systemc_clang::GPUMacro::GPUMacro(int, int, int, int, int, int, int, int)'],['../classsystemc__clang_1_1GPUMacro.html#af05ea14efc34ed971726a8f63f296ff6',1,'systemc_clang::GPUMacro::GPUMacro()']]], + ['gpumap_254',['GPUMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6cb4e29442e0d8d53e3c073873f63e4a',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['grammar_2epy_255',['grammar.py',['../grammar_8py.html',1,'']]], + ['graph_256',['Graph',['../classsystemc__clang_1_1Graph.html',1,'systemc_clang::Graph'],['../classsystemc__clang_1_1Graph.html#ae4c72b8ac4d693c49800a4c7e273654f',1,'systemc_clang::Graph::Graph()']]] ]; diff --git a/search/all_8.js b/search/all_8.js index d72097ee..e4d4f9c7 100644 --- a/search/all_8.js +++ b/search/all_8.js @@ -7,184 +7,188 @@ var searchData= ['h_5ftop_5f_4',['h_top_',['../classsystemc__hdl_1_1HDLThread.html#ae4256fd94e77de271a594637f92fab72',1,'systemc_hdl::HDLThread']]], ['h_5fvardeclp_5',['h_vardeclp',['../structhnode_1_1names__t.html#a9b4e9c1fba5cc4661b34936ebc8aaf49',1,'hnode::names_t']]], ['haddassign_6',['haddassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#ae177752a172c46b36e2c787feada8088',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['handletranslationunit_7',['HandleTranslationUnit',['../classsystemc__clang_1_1SystemCConsumer.html#a905aa1175d3b875a286ce3104f397ab3',1,'systemc_clang::SystemCConsumer']]], - ['harrayref_8',['harrayref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a348570648af5eb5c41537504224d4feb',1,'parselib.transforms.function_info_pass.FunctionInfoPass.harrayref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a09e5532d75c87d6546aab48a68819954',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.harrayref()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a0930ddc7543f92353fb64526af919c86',1,'parselib.transforms.slice_merge.SliceMerge.harrayref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a0f4cc16462f30106e1183d186d66e1df',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.harrayref()']]], - ['has_5fcomma_9',['has_comma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a2d0b2afef41af77bf1cdf0e9ac390d6c',1,'parselib::transforms::comma_transformation::CommaTransformation']]], - ['has_5fternary_5fop_5f_10',['has_ternary_op_',['../classsystemc__clang_1_1SplitCFG.html#a0f9ff2f83d353ed7b4f5c8d8b1aa3315',1,'systemc_clang::SplitCFG']]], - ['has_5fwait_5f_11',['has_wait_',['../classsystemc__clang_1_1SplitCFGBlock.html#a7bbe00379a8f45caec8af0d3b8673e0b',1,'systemc_clang::SplitCFGBlock']]], - ['hasbreak_12',['hasBreak',['../classsystemc__clang_1_1BreakMatcher.html#aaa2a74c94b0051ee18177e6498d3038f',1,'systemc_clang::BreakMatcher']]], - ['haschildren_13',['hasChildren',['../classsystemc__clang_1_1Tree.html#aff47f4e8acfe34d346bb6f73c24241e3',1,'systemc_clang::Tree']]], - ['hasterminatorbreak_14',['hasTerminatorBreak',['../classsystemc__clang_1_1SplitCFGBlock.html#aef8c62235c7e55b076ddbfe2be551d4c',1,'systemc_clang::SplitCFGBlock']]], - ['hasterminatorwait_15',['hasTerminatorWait',['../classsystemc__clang_1_1SplitCFGBlock.html#a5d9be251b03c4b3cebb22e264fb30e21',1,'systemc_clang::SplitCFGBlock']]], - ['haswait_16',['hasWait',['../classsystemc__clang_1_1BreakMatcher.html#a73ab67b4359458b2225500eff2018062',1,'systemc_clang::BreakMatcher::hasWait()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a9c7ead6788fca6cf495f1af4da835505',1,'systemc_clang::SplitCFGBlock::hasWait()']]], - ['hasync_17',['hasync',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a662daf85e1d4bebb8906d002e3d6518d',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['hbindingarrayref_18',['hbindingarrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a72ccfd5c4758079465b15742996a8ccd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hbinop_19',['hbinop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a78894286818d32e713321c9427ab7ca9',1,'parselib.transforms.alias_translation.AliasTranslation.hbinop()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a3fee83161f553b568e692cf856f10f5e',1,'parselib.transforms.comma_transformation.CommaTransformation.hbinop()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#af090908cfa486f4b979f3a2c70b00fde',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hbinop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a775df6fe970bf46ca40d69c16ab19df2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbinop()']]], + ['handle_5flist_7',['handle_list',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#acf8ab8e0d49743bc809e2bdd48240bf1',1,'parselib::transforms::literal_expansion::LiteralExpansion2']]], + ['handletranslationunit_8',['HandleTranslationUnit',['../classsystemc__clang_1_1SystemCConsumer.html#a905aa1175d3b875a286ce3104f397ab3',1,'systemc_clang::SystemCConsumer']]], + ['harrayref_9',['harrayref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a348570648af5eb5c41537504224d4feb',1,'parselib.transforms.function_info_pass.FunctionInfoPass.harrayref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a09e5532d75c87d6546aab48a68819954',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.harrayref()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#aa53c1fe8fae515bd6e1fc79a9503d9a6',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.harrayref()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a0930ddc7543f92353fb64526af919c86',1,'parselib.transforms.slice_merge.SliceMerge.harrayref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a0f4cc16462f30106e1183d186d66e1df',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.harrayref()']]], + ['has_5fcomma_10',['has_comma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af6a0000464243cd00c83a72aa8e94134',1,'parselib::transforms::comma_transformation::CommaTransformation']]], + ['has_5fternary_5fop_5f_11',['has_ternary_op_',['../classsystemc__clang_1_1SplitCFG.html#a0f9ff2f83d353ed7b4f5c8d8b1aa3315',1,'systemc_clang::SplitCFG']]], + ['has_5fwait_5f_12',['has_wait_',['../classsystemc__clang_1_1SplitCFGBlock.html#a7bbe00379a8f45caec8af0d3b8673e0b',1,'systemc_clang::SplitCFGBlock']]], + ['hasbreak_13',['hasBreak',['../classsystemc__clang_1_1BreakMatcher.html#aaa2a74c94b0051ee18177e6498d3038f',1,'systemc_clang::BreakMatcher']]], + ['haschildren_14',['hasChildren',['../classsystemc__clang_1_1Tree.html#aff47f4e8acfe34d346bb6f73c24241e3',1,'systemc_clang::Tree']]], + ['hasterminatorbreak_15',['hasTerminatorBreak',['../classsystemc__clang_1_1SplitCFGBlock.html#aef8c62235c7e55b076ddbfe2be551d4c',1,'systemc_clang::SplitCFGBlock']]], + ['hasterminatorwait_16',['hasTerminatorWait',['../classsystemc__clang_1_1SplitCFGBlock.html#a5d9be251b03c4b3cebb22e264fb30e21',1,'systemc_clang::SplitCFGBlock']]], + ['haswait_17',['hasWait',['../classsystemc__clang_1_1BreakMatcher.html#a73ab67b4359458b2225500eff2018062',1,'systemc_clang::BreakMatcher::hasWait()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a9c7ead6788fca6cf495f1af4da835505',1,'systemc_clang::SplitCFGBlock::hasWait()']]], + ['hasync_18',['hasync',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a662daf85e1d4bebb8906d002e3d6518d',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['hbindingarrayref_19',['hbindingarrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a72ccfd5c4758079465b15742996a8ccd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['hbinop_20',['hBinop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad2c332521864677adb38ef1776a57be8',1,'hnode::hNode']]], - ['hbreak_21',['hBreak',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf7482d3775532b6e08dde867bfb5e79c',1,'hnode::hNode']]], - ['hbuiltin_22',['hbuiltin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a156223e08cf0b9502c3291c149d58408',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hbuiltinfunction_23',['hBuiltinFunction',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9d7cb244581c0fbca12afe2d006edd11',1,'hnode::hNode']]], - ['hcode2verilog_24',['hcode2verilog',['../namespacehcode2verilog.html',1,'']]], - ['hcode2verilog_2epy_25',['hcode2verilog.py',['../hcode2verilog_8py.html',1,'']]], - ['hcomma_26',['hcomma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af8df3ae0f683f6431d936d7aebe13af9',1,'parselib::transforms::comma_transformation::CommaTransformation']]], - ['hcompoundasisgn_27',['hcompoundasisgn',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a0b18a382bcc728ab482ed28d037efe82',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['hconcat_28',['hconcat',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca843d09b28e0ae6073512647679e15d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hcondop_29',['hCondop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabaf91aaa2ec761d68b79c26dd0185fba',1,'hnode::hNode']]], - ['hcondop_30',['hcondop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a305feae4972915c4929d5bda63911659',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hcontinue_31',['hContinue',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa56cc805bc0258b9d091e24759299892e',1,'hnode::hNode']]], - ['hcstmt_32',['hCStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aab4f511e642c2dcc07cc1b4fa22662482',1,'hnode::hNode']]], - ['hcstmt_33',['hcstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6251928b4d73abebbbf33b25b0a984e2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hdecl_5fname_5fmap_34',['hdecl_name_map',['../classhnode_1_1newname__map__t.html#ac8c0856276502c09b43124d6e1fa5a87',1,'hnode::newname_map_t']]], - ['hdecl_5fname_5fmap_5ft_35',['hdecl_name_map_t',['../namespacehnode.html#a13b8790df9ef35638a389976f0b632fd',1,'hnode']]], - ['hdeptype_36',['hdeptype',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#ac373f1dbfeccc09a26fceaf1f0a32324',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['hdl_5ffile_5fout_5f_37',['hdl_file_out_',['../classsystemc__hdl_1_1HDLMain.html#aff1b131a2d1d484f966a3aa97bf69c61',1,'systemc_hdl::HDLMain::hdl_file_out_'],['../classsystemc__hdl_1_1HDLAXN.html#a4202443ecf31d91cc87427c853b60057',1,'systemc_hdl::HDLAXN::hdl_file_out_'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a4ae4268beb7ff9d6ae105c0eba9e67e2',1,'systemc_hdl::HDLFrontendActionFactory::hdl_file_out_']]], - ['hdlaxn_38',['HDLAXN',['../classsystemc__hdl_1_1HDLAXN.html',1,'systemc_hdl::HDLAXN'],['../classsystemc__hdl_1_1HDLAXN.html#a39a47fb32711262a05801e505f55b033',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top)'],['../classsystemc__hdl_1_1HDLAXN.html#acc2ac92fa83be7d971cbb53bc98a3d25',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top, const std::string &hdl_file_out)']]], - ['hdlbody_39',['HDLBody',['../classsystemc__hdl_1_1HDLBody.html',1,'systemc_hdl::HDLBody'],['../classsystemc__hdl_1_1HDLBody.html#a76dbd4e51a2f53320742fbd250ffe89f',1,'systemc_hdl::HDLBody::HDLBody()']]], - ['hdlbody_2ecpp_40',['HDLBody.cpp',['../HDLBody_8cpp.html',1,'']]], - ['hdlbody_2eh_41',['HDLBody.h',['../HDLBody_8h.html',1,'']]], - ['hdlbodymode_42',['HDLBodyMode',['../namespacesystemc__hdl.html#aeef6b9516f3e8320515d66110f5f9d5f',1,'systemc_hdl']]], - ['hdlconstructorhcode_43',['HDLConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html',1,'systemc_hdl::HDLConstructorHcode'],['../classsystemc__hdl_1_1HDLConstructorHcode.html#a6bafffa08d3113400f5e5ac189da0fca',1,'systemc_hdl::HDLConstructorHcode::HDLConstructorHcode()']]], - ['hdlfrontendactionfactory_44',['HDLFrontendActionFactory',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html',1,'systemc_hdl::HDLFrontendActionFactory'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a8398978f30073f7c118acfed502515a8',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top)'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a58202e7513d1d064a0030f40b672187f',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top, const std::string &hdl_file_out)']]], - ['hdlhnode_2ecpp_45',['HDLHnode.cpp',['../HDLHnode_8cpp.html',1,'']]], - ['hdlhnode_2eh_46',['HDLHnode.h',['../HDLHnode_8h.html',1,'']]], - ['hdlloop_47',['HDLLoop',['../classsystemc__hdl_1_1HDLConstructorHcode.html#af6bb68caced4b2ead1de429b68da3f47',1,'systemc_hdl::HDLConstructorHcode']]], - ['hdlmain_48',['HDLMain',['../classsystemc__hdl_1_1HDLMain.html',1,'systemc_hdl::HDLMain'],['../classsystemc__hdl_1_1HDLMain.html#a563a3dd0e92d4cea92109b59383d5971',1,'systemc_hdl::HDLMain::HDLMain(CompilerInstance &ci, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")'],['../classsystemc__hdl_1_1HDLMain.html#ae29d8fa3a6194fe4ed655dd87dccdd27',1,'systemc_hdl::HDLMain::HDLMain(ASTUnit *from_ast, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")']]], - ['hdlmain_2ecpp_49',['HDLMain.cpp',['../HDLMain_8cpp.html',1,'']]], - ['hdlmain_2eh_50',['HDLMain.h',['../HDLMain_8h.html',1,'']]], - ['hdlop_5fpn_51',['hdlop_pn',['../classhnode_1_1hNode.html#aa9b67f859b7fe96a805ececa8806756e',1,'hnode::hNode']]], - ['hdlopsenum_52',['hdlopsEnum',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39a',1,'hnode::hNode']]], - ['hdlpluginaction_53',['HDLPluginAction',['../classsystemc__hdl_1_1HDLPluginAction.html',1,'systemc_hdl::HDLPluginAction'],['../classsystemc__hdl_1_1HDLPluginAction.html#aa7261191d6534cc7903802c45729ce96',1,'systemc_hdl::HDLPluginAction::HDLPluginAction()']]], - ['hdlt_54',['HDLt',['../classsystemc__hdl_1_1HDLMain.html#a3f393848b0c5306a974657203672c20f',1,'systemc_hdl::HDLMain']]], - ['hdlt_5fuserclassesp_5f_55',['HDLt_userclassesp_',['../classsystemc__hdl_1_1HDLBody.html#a8fc28a0bb01766cbcc67a343d15ead74',1,'systemc_hdl::HDLBody']]], - ['hdlthread_56',['HDLThread',['../classsystemc__hdl_1_1HDLThread.html',1,'systemc_hdl::HDLThread'],['../classsystemc__hdl_1_1HDLThread.html#ae97d4747c4c013863af766b8f90961d6',1,'systemc_hdl::HDLThread::HDLThread()']]], - ['hdlthread_2ecpp_57',['HDLThread.cpp',['../HDLThread_8cpp.html',1,'']]], - ['hdlthread_2eh_58',['HDLThread.h',['../HDLThread_8h.html',1,'']]], - ['hdltype_59',['HDLType',['../classHDLType.html',1,'HDLType'],['../classHDLType.html#aaa8e5116a4baaba73ab526315de39c78',1,'HDLType::HDLType()']]], - ['hdltype_2ecpp_60',['HDLType.cpp',['../HDLType_8cpp.html',1,'']]], - ['hdltype_2eh_61',['HDLType.h',['../HDLType_8h.html',1,'']]], - ['hdostmt_62',['hDoStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa32d8773515bfdcc482084103f562e4bc',1,'hnode::hNode']]], - ['help_63',['help',['../namespacesystemc-clang.html#acb2b2f8703d0901d9680cf916ee6ae7b',1,'systemc-clang']]], - ['helpers_2epy_64',['helpers.py',['../helpers_8py.html',1,'']]], - ['hfield_65',['hField',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa0272b494817b611ebc2c843a49aa5558',1,'hnode::hNode']]], - ['hfieldaccess_66',['hfieldaccess',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a63da1180888e63b601f24c23a3926bf7',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['hbinop_21',['hbinop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a78894286818d32e713321c9427ab7ca9',1,'parselib.transforms.alias_translation.AliasTranslation.hbinop()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a3fee83161f553b568e692cf856f10f5e',1,'parselib.transforms.comma_transformation.CommaTransformation.hbinop()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#af090908cfa486f4b979f3a2c70b00fde',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hbinop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a775df6fe970bf46ca40d69c16ab19df2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbinop()']]], + ['hbreak_22',['hBreak',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf7482d3775532b6e08dde867bfb5e79c',1,'hnode::hNode']]], + ['hbuiltin_23',['hbuiltin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a156223e08cf0b9502c3291c149d58408',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hbuiltinfunction_24',['hBuiltinFunction',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9d7cb244581c0fbca12afe2d006edd11',1,'hnode::hNode']]], + ['hcode2verilog_25',['hcode2verilog',['../namespacehcode2verilog.html',1,'']]], + ['hcode2verilog_2epy_26',['hcode2verilog.py',['../hcode2verilog_8py.html',1,'']]], + ['hcomma_27',['hcomma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af8df3ae0f683f6431d936d7aebe13af9',1,'parselib::transforms::comma_transformation::CommaTransformation']]], + ['hcompoundasisgn_28',['hcompoundasisgn',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a0b18a382bcc728ab482ed28d037efe82',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['hconcat_29',['hconcat',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca843d09b28e0ae6073512647679e15d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hcondop_30',['hCondop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabaf91aaa2ec761d68b79c26dd0185fba',1,'hnode::hNode']]], + ['hcondop_31',['hcondop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a305feae4972915c4929d5bda63911659',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hcontinue_32',['hContinue',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa56cc805bc0258b9d091e24759299892e',1,'hnode::hNode']]], + ['hcstmt_33',['hCStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aab4f511e642c2dcc07cc1b4fa22662482',1,'hnode::hNode']]], + ['hcstmt_34',['hcstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6251928b4d73abebbbf33b25b0a984e2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hdecl_5fname_5fmap_35',['hdecl_name_map',['../classhnode_1_1newname__map__t.html#ac8c0856276502c09b43124d6e1fa5a87',1,'hnode::newname_map_t']]], + ['hdecl_5fname_5fmap_5ft_36',['hdecl_name_map_t',['../namespacehnode.html#a13b8790df9ef35638a389976f0b632fd',1,'hnode']]], + ['hdeptype_37',['hdeptype',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#ac373f1dbfeccc09a26fceaf1f0a32324',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['hdl_5ffile_5fout_5f_38',['hdl_file_out_',['../classsystemc__hdl_1_1HDLMain.html#aff1b131a2d1d484f966a3aa97bf69c61',1,'systemc_hdl::HDLMain::hdl_file_out_'],['../classsystemc__hdl_1_1HDLAXN.html#a4202443ecf31d91cc87427c853b60057',1,'systemc_hdl::HDLAXN::hdl_file_out_'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a4ae4268beb7ff9d6ae105c0eba9e67e2',1,'systemc_hdl::HDLFrontendActionFactory::hdl_file_out_']]], + ['hdlaxn_39',['HDLAXN',['../classsystemc__hdl_1_1HDLAXN.html',1,'systemc_hdl::HDLAXN'],['../classsystemc__hdl_1_1HDLAXN.html#a39a47fb32711262a05801e505f55b033',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top)'],['../classsystemc__hdl_1_1HDLAXN.html#acc2ac92fa83be7d971cbb53bc98a3d25',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top, const std::string &hdl_file_out)']]], + ['hdlbody_40',['HDLBody',['../classsystemc__hdl_1_1HDLBody.html',1,'systemc_hdl::HDLBody'],['../classsystemc__hdl_1_1HDLBody.html#a76dbd4e51a2f53320742fbd250ffe89f',1,'systemc_hdl::HDLBody::HDLBody()']]], + ['hdlbody_2ecpp_41',['HDLBody.cpp',['../HDLBody_8cpp.html',1,'']]], + ['hdlbody_2eh_42',['HDLBody.h',['../HDLBody_8h.html',1,'']]], + ['hdlbodymode_43',['HDLBodyMode',['../namespacesystemc__hdl.html#aeef6b9516f3e8320515d66110f5f9d5f',1,'systemc_hdl']]], + ['hdlconstructorhcode_44',['HDLConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html',1,'systemc_hdl::HDLConstructorHcode'],['../classsystemc__hdl_1_1HDLConstructorHcode.html#a6bafffa08d3113400f5e5ac189da0fca',1,'systemc_hdl::HDLConstructorHcode::HDLConstructorHcode()']]], + ['hdlfrontendactionfactory_45',['HDLFrontendActionFactory',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html',1,'systemc_hdl::HDLFrontendActionFactory'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a8398978f30073f7c118acfed502515a8',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top)'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a58202e7513d1d064a0030f40b672187f',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top, const std::string &hdl_file_out)']]], + ['hdlhnode_2ecpp_46',['HDLHnode.cpp',['../HDLHnode_8cpp.html',1,'']]], + ['hdlhnode_2eh_47',['HDLHnode.h',['../HDLHnode_8h.html',1,'']]], + ['hdlloop_48',['HDLLoop',['../classsystemc__hdl_1_1HDLConstructorHcode.html#af6bb68caced4b2ead1de429b68da3f47',1,'systemc_hdl::HDLConstructorHcode']]], + ['hdlmain_49',['HDLMain',['../classsystemc__hdl_1_1HDLMain.html',1,'systemc_hdl::HDLMain'],['../classsystemc__hdl_1_1HDLMain.html#a563a3dd0e92d4cea92109b59383d5971',1,'systemc_hdl::HDLMain::HDLMain(CompilerInstance &ci, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")'],['../classsystemc__hdl_1_1HDLMain.html#ae29d8fa3a6194fe4ed655dd87dccdd27',1,'systemc_hdl::HDLMain::HDLMain(ASTUnit *from_ast, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")']]], + ['hdlmain_2ecpp_50',['HDLMain.cpp',['../HDLMain_8cpp.html',1,'']]], + ['hdlmain_2eh_51',['HDLMain.h',['../HDLMain_8h.html',1,'']]], + ['hdlop_5fpn_52',['hdlop_pn',['../classhnode_1_1hNode.html#aa9b67f859b7fe96a805ececa8806756e',1,'hnode::hNode']]], + ['hdlopsenum_53',['hdlopsEnum',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39a',1,'hnode::hNode']]], + ['hdlpluginaction_54',['HDLPluginAction',['../classsystemc__hdl_1_1HDLPluginAction.html',1,'systemc_hdl::HDLPluginAction'],['../classsystemc__hdl_1_1HDLPluginAction.html#aa7261191d6534cc7903802c45729ce96',1,'systemc_hdl::HDLPluginAction::HDLPluginAction()']]], + ['hdlt_55',['HDLt',['../classsystemc__hdl_1_1HDLMain.html#a3f393848b0c5306a974657203672c20f',1,'systemc_hdl::HDLMain']]], + ['hdlt_5fuserclassesp_5f_56',['HDLt_userclassesp_',['../classsystemc__hdl_1_1HDLBody.html#a8fc28a0bb01766cbcc67a343d15ead74',1,'systemc_hdl::HDLBody']]], + ['hdlthread_57',['HDLThread',['../classsystemc__hdl_1_1HDLThread.html',1,'systemc_hdl::HDLThread'],['../classsystemc__hdl_1_1HDLThread.html#ae97d4747c4c013863af766b8f90961d6',1,'systemc_hdl::HDLThread::HDLThread()']]], + ['hdlthread_2ecpp_58',['HDLThread.cpp',['../HDLThread_8cpp.html',1,'']]], + ['hdlthread_2eh_59',['HDLThread.h',['../HDLThread_8h.html',1,'']]], + ['hdltype_60',['HDLType',['../classHDLType.html',1,'HDLType'],['../classHDLType.html#aaa8e5116a4baaba73ab526315de39c78',1,'HDLType::HDLType()']]], + ['hdltype_2ecpp_61',['HDLType.cpp',['../HDLType_8cpp.html',1,'']]], + ['hdltype_2eh_62',['HDLType.h',['../HDLType_8h.html',1,'']]], + ['hdostmt_63',['hDoStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa32d8773515bfdcc482084103f562e4bc',1,'hnode::hNode']]], + ['help_64',['help',['../namespacesystemc-clang.html#acb2b2f8703d0901d9680cf916ee6ae7b',1,'systemc-clang']]], + ['helpers_2epy_65',['helpers.py',['../helpers_8py.html',1,'']]], + ['hfield_66',['hField',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa0272b494817b611ebc2c843a49aa5558',1,'hnode::hNode']]], ['hfieldaccess_67',['hFieldaccess',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa57c0bfa7e5dcebb75d6312e6b0c97443',1,'hnode::hNode']]], - ['hforstmt_68',['hForStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabc75df9eabe5000d8d0f5625d9e18299',1,'hnode::hNode']]], - ['hfunc_5fname_5fmap_5ft_69',['hfunc_name_map_t',['../classhnode_1_1hfunc__name__map__t.html',1,'hnode']]], - ['hfunction_70',['hFunction',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa62e610bd47b70179836829e5adee035d',1,'hnode::hNode']]], - ['hfunction_71',['hfunction',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a49f12588ede2effeb2aa08a8a30a6e9f',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunction()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a7d4b3a56ea7bd17e8de7d8c1ee294e85',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hfunction()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ae7fd3b27526e250f177f5de10d93d85a',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hfunction()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afdbf66016b731cfa572620dfc177d810',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunction()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ac5dc3b7eeb545a2db394dd042151a066',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunction()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2dc6d1933a68ca9f781f1f1b3992159c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunction()']]], - ['hfunctionbody_72',['hfunctionbody',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a1db36a84124e2a2b6efd071be5399e22',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['hfunctionlocalvars_73',['hfunctionlocalvars',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a3b4457b6e83827130f33b15e3fcf4e57',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ad5f2fb6ea53d0981299f04e272f81911',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#afaf3f1ed0fd8ada672d39dad8532f23b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a77e42150198b2bb22fe905c0efb5fcdc',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionlocalvars()']]], - ['hfunctionparami_74',['hFunctionParamI',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa75b36134944d27483463eb313d049f61',1,'hnode::hNode']]], - ['hfunctionparamio_75',['hFunctionParamIO',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa11db242901a2fee3d7128e166f75ce4c',1,'hnode::hNode']]], - ['hfunctionparamref_76',['hFunctionParamRef',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad2d8bbe39ddc790185485c6f3139019d',1,'hnode::hNode']]], - ['hfunctionparams_77',['hFunctionParams',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7d1e4f8f8f8bcbd5abf0a6d0499ed046',1,'hnode::hNode']]], - ['hfunctionparams_78',['hfunctionparams',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aa5a427252cdf24377ad0fc5cf0b810ea',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af8ae5cc39ad08c0dd32cf365a2be45c8',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a77a72766da59f7d938f2b4ef68d2353a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionparams()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1837e51f7961c2fd982571cffa576220',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionparams(self, tree)']]], - ['hfunctionrettype_79',['hfunctionrettype',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aefa4397f350946a0af4be47f63ff01f4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hfunctionrettype_80',['hFunctionRetType',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8ab9caa329b384da5555ac64d82441ec',1,'hnode::hNode']]], - ['hi_81',['hi',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a171fdfef63265b226a4bcb9b35fd8dea',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['hier_82',['hier',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#ad5dfb8fb8e5a93c8027a1f1bf463b2ff',1,'parselib::transforms::structure_collector::StructureCollector']]], - ['hifstmt_83',['hIfStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa5d704246c902758be5a63641f989cf36',1,'hnode::hNode']]], - ['hint_84',['hInt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa72476ed457a1d7362ce4223992e5b2b5',1,'hnode::hNode']]], - ['hlast_85',['hLast',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaed66336bacae978f9682ab7eea1ee52f',1,'hnode::hNode']]], - ['hliteral_86',['hLiteral',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9bf2b1c2f3d86deb343415e9b4617a77',1,'hnode::hNode']]], - ['hliteral_87',['hliteral',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8b673973a69eccbf27b1ce0960ecee5c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hlocalvarsp_88',['hlocalvarsp',['../classsystemc__hdl_1_1HDLThread.html#acaa8ae2311a3711bfbc0267af37f8d2d',1,'systemc_hdl::HDLThread']]], - ['hlrotate_89',['hlrotate',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae396164763249931fd4cff6b65cb27e1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hmethod_90',['hMethod',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa3e7e1abdb7bc660b28d6f5c705f215a3',1,'hnode::hNode']]], - ['hmethodcall_91',['hMethodCall',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8d173ec85480d3a93652c55c658f86fe',1,'hnode::hNode']]], - ['hmethodcall_92',['hmethodcall',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a254a841b7b73a0bcc93b894d0a4b0a86',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmethodcall()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a096716a5b33541ac8554939816df2457',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmethodcall()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a170bfed67ddd699a1b4ec7937d429152',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmethodcall()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6b94abfeffdd25a1246f9face93784c4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmethodcall()']]], - ['hmoddecl_93',['hModdecl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9afe830a6c9bb071ed899b0083d9d15a',1,'hnode::hNode']]], - ['hmodinitblock_94',['hmodinitblock',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa46e97edd55501747cf3d37975038017',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['hmodinitblock_95',['hModinitblock',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf0073c7b0ed9196ff97f809885ccf3a3',1,'hnode::hNode']]], - ['hmodinst_5fname_5fmap_5ft_96',['hmodinst_name_map_t',['../namespacehnode.html#ae5a136465a64b865624526c06cb43bc8',1,'hnode']]], - ['hmodule_97',['hmodule',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a0e27319c8ad07fff6ccd6d68772c785c',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmodule()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a30bcf09e9e3f48bd9d1a137e5f665ff8',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hmodule()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae6056dcc3f8fc698da8deb45e3b9189c',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmodule()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a77742524a349ffde51299b176b24f01e',1,'parselib.transforms.literal_expansion.LiteralExpansion.hmodule()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#af12b99caf1db89767d66642d8a177f28',1,'parselib.transforms.node_movement.NodeMovement.hmodule()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a685532569f8c681b1c266608f60a3295',1,'parselib.transforms.port_expansion.PortExpansion.hmodule()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#af565781dff9a73ede9f280348114ca02',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.hmodule()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a14eee44280f334b0c55288f14383fc88',1,'parselib.transforms.structure_collector.StructureCollector.hmodule()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a3a0980d5e01ee6800344fceb98f9074d',1,'parselib.transforms.type_collector.TypeCollector.hmodule()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a650b7edae6ab9e3af555d0aee35865fc',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmodule()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2a5203b9cfd0fee95a795d45eceee7db',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmodule()']]], - ['hmodule_98',['hModule',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa92b7098df124f052f5f31c4323009517',1,'hnode::hNode']]], - ['hnewpb_99',['hnewpb',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a4e57797945f1096c2b9eb20af61c605a',1,'systemc_hdl::HDLConstructorHcode']]], - ['hnewsens_100',['hnewsens',['../classsystemc__hdl_1_1HDLConstructorHcode.html#afca50bae03fd257b2420ebda5df3a5a9',1,'systemc_hdl::HDLConstructorHcode']]], - ['hnode_101',['hNode',['../classhnode_1_1hNode.html',1,'hnode']]], - ['hnode_102',['hnode',['../namespacehnode.html',1,'']]], - ['hnode_103',['hNode',['../classhnode_1_1hNode.html#a16258c9b7990cde944815124ccddd4bd',1,'hnode::hNode::hNode(bool lf)'],['../classhnode_1_1hNode.html#aa8237ce3fce5b886d6220282572a3071',1,'hnode::hNode::hNode(hdlopsEnum h)'],['../classhnode_1_1hNode.html#ac72ce07937a6dfb6b691737a2ff8d748',1,'hnode::hNode::hNode(string s, hdlopsEnum h)']]], - ['hnode_2eh_104',['hNode.h',['../hNode_8h.html',1,'']]], - ['hnodedeepcopy_105',['HnodeDeepCopy',['../classsystemc__hdl_1_1HDLConstructorHcode.html#adea88dbd8d04f1b28ce8f35337fa4425',1,'systemc_hdl::HDLConstructorHcode']]], - ['hnodeen_106',['HNODEen',['../hNode_8h.html#a64eb54355c84a41ba54190a6d70e5296',1,'hNode.h']]], - ['hnodep_107',['hNodep',['../namespacehnode.html#ae5db0847c00e6e0627bc73df624628d0',1,'hnode']]], - ['hnodeptr_108',['hNodePtr',['../classsystemc__hdl_1_1StmtVisitor.html#ac891193aa537b589397175b34d63d25f',1,'systemc_hdl::StmtVisitor']]], - ['hnoop_109',['hNoop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabddc717fa80e2946c64ee71db5895d2a',1,'hnode::hNode']]], - ['hnoop_110',['hnoop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abb931cefc32b39aa693561f0ee06adc1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hnsbinop_111',['hnsbinop',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a754267c03f07541e79669ab7a4d18645',1,'parselib.transforms.comma_transformation.CommaTransformation.hnsbinop()'],['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#adb7e0b5705dc36a347d76629ebc4433c',1,'parselib.transforms.node_merge.NodeMergePass.hnsbinop()']]], - ['horreduce_112',['horreduce',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad1bd82cba02bcaba64a7a8b645243b7b',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hportbinding_113',['hPortbinding',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8da3b69601419fedeb1d70f566d04b99',1,'hnode::hNode']]], - ['hportbindings_114',['hPortbindings',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf7503198e54eccbc56b5114c1bad9aef',1,'hnode::hNode']]], - ['hportin_115',['hPortin',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa58853611395b2547e2d3ea95af56fa7e',1,'hnode::hNode']]], - ['hportio_116',['hPortio',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa2723554804be91bb4bb86a239e72ed4a',1,'hnode::hNode']]], - ['hportout_117',['hPortout',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa0d531f06667d6e58a741dd7ad6715f0b',1,'hnode::hNode']]], - ['hportsigvarlist_118',['hPortsigvarlist',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa91d0c02338645fbe332d7a58fdd317be',1,'hnode::hNode']]], - ['hpostfix_119',['hpostfix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adad090e1b690c5be6e16e51a19cd5c90',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hpostfix_120',['hPostfix',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aae44bcffd6a5e2ce82078e345572100db',1,'hnode::hNode']]], - ['hprefix_121',['hprefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a24a64ffd7a138df7f927f1a17e20d443',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hprefix_122',['hPrefix',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa48d7883a19a29b109db1379b6d763248',1,'hnode::hNode']]], - ['hprocess_123',['hprocess',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a37af5a440d35f4a0ed019ed3ef8010ef',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hprocess()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a94d04faa21eb663e1607563562c23d10',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hprocess()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae655aef840182f33d8ca093aee05b704',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hprocess()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#aa26213b7203db48414ff355cb2be6a74',1,'parselib.transforms.node_movement.NodeMovement.hprocess()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5f1d7e77bcb58c6c810101a67b21b367',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hprocess()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac25ffa4fa2dc061667d8505740ba9214',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hprocess()']]], - ['hprocess_124',['hProcess',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad65d9703d79ff15c0013ac48e7be4eaf',1,'hnode::hNode']]], - ['hprocesses_125',['hProcesses',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad97d04c5f521fb662ee2b16b9b997605',1,'hnode::hNode']]], - ['hreturnstmt_126',['hReturnStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaa48df7c8fc7cd9ab2dca79c74874af31',1,'hnode::hNode']]], - ['hreturnstmt_127',['hreturnstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aacb98c9929d0759fbdc09ea3900673e5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hscmax_128',['hscmax',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a008e2b129046cebd70447a0de32665d4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hscmin_129',['hscmin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac49eeebc0eee0b6bfa0809639ec612c7',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hsensedge_130',['hSensedge',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aab9cc835120330cb7aabc24aa8553ffb4',1,'hnode::hNode']]], - ['hsensedge_131',['hsensedge',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a7612618ef456c3e98a3c8711233bd78e',1,'parselib.transforms.alias_translation.AliasTranslation.hsensedge()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aebfd3610d499c8261a70ff3890e94ea9',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensedge()']]], - ['hsenslist_132',['hSenslist',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa47aeff74d770610b20fbe7c27ea6e8e5',1,'hnode::hNode']]], - ['hsenslist_133',['hsenslist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af846a05be803edf503d678176a7ddd82',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsenslist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aaef80ae1ed0f080c0e6f7ee40f50f662',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsenslist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9c65df59acc46315226cad6c46c1ef80',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsenslist()']]], - ['hsensvar_134',['hSensvar',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7c7768416c1c6ca9d29a4b239295f524',1,'hnode::hNode']]], - ['hsensvar_135',['hsensvar',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a25dc7adff5bd2146353976093b2027eb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsensvar()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a520bd7c4cd16bc122c81850f5b3cc411',1,'parselib.transforms.literal_expansion.LiteralExpansion.hsensvar()']]], - ['hsensvars_136',['hsensvars',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5748aa7bae1c58cbb4b46b098e6fb039',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsensvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3de7cc9aa6ecc9327aa1ebf96ba1f476',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensvars(self, tree)']]], - ['hsigassignl_137',['hsigassignl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa1a0416664b533a8209861cc616c8131',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hsigassignl_138',['hSigAssignL',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aac26fcb503cc2039494750b9fd43d30e8',1,'hnode::hNode']]], - ['hsigassignr_139',['hSigAssignR',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabc72adb185b3cb3ad0448874d4ef6f54',1,'hnode::hNode']]], - ['hsigdecl_140',['hSigdecl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaabf796c7c5b53ca37a43629dd09aedbd',1,'hnode::hNode']]], - ['hsimplefunc_5fname_5fmap_5ft_141',['hsimplefunc_name_map_t',['../namespacehnode.html#ab787563a011c95e78e47e5d326dde32b',1,'hnode']]], - ['hslice_142',['hslice',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad21d1ae316d24e1045ba3d277138fcde',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hslice()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad6186eea39f7801a7333c4290c762c94',1,'parselib.transforms.slice_merge.SliceMerge.hslice()']]], - ['hsubassign_143',['hsubassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#abeea4c275a03d67c884e5129a36b427a',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['hswitchcase_144',['hSwitchCase',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad3bad4f5cc588d21d13eda1fabb29abd',1,'hnode::hNode']]], - ['hswitchdefault_145',['hSwitchDefault',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7fe3993b5175c65f3c1285cb5c6e7f56',1,'hnode::hNode']]], - ['hswitchstmt_146',['hSwitchStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa4e8a38af6823c1ae70f0fce46af95b4d',1,'hnode::hNode']]], - ['hthread_147',['hthread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aedabd5c66b0a225e3d51298de9f8be8a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hthread_148',['hThread',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa0857aa30b97843016980bac239816bda',1,'hnode::hNode']]], - ['hthreadblocksp_149',['hthreadblocksp',['../classsystemc__hdl_1_1HDLThread.html#a2f1ef686063d34ec0225059c9aca5e9a',1,'systemc_hdl::HDLThread']]], - ['hthreadfunction_150',['hThreadFunction',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa6aedf7858b7395716424fae1728553cb',1,'hnode::hNode']]], - ['hthreadswitch_151',['hthreadswitch',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9926cce0e6cdff9702335d8bbbe03ae4',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a20cea867b182fc1d5aa7076f9fe92da1',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab51a050660f427f82a87f1de207c37f1',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthreadswitch(self, tree)']]], - ['hthreadsync_152',['hthreadsync',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2e9919e0460d78474f3fa1d98760f3a5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htoint_153',['htoint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a86b0f9982fd638e79b76b93dd729c60e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htolong_154',['htolong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5a8c3ad5128c58e144128b5fe0ffedfd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htouint_155',['htouint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9484512864190461090af1781c8f9901',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htoulong_156',['htoulong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac4fdc30a8a769726e3f35359d585adca',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htype_157',['htype',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a1019802de34078af5e4361f2f2d1bc6f',1,'parselib.transforms.alias_translation.AliasTranslation.htype()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aa453750e23036adef812e38fca508df4',1,'parselib.transforms.literal_expansion.LiteralExpansion.htype()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a8db8e3db81f9ad75eaec2da9fbeff21f',1,'parselib.transforms.type_collector.TypeCollector.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a9092937b523ee735ef38e9c415a70614',1,'parselib.transforms.typedef_filter.TypeDefFilter.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a0dda55ead00c86bcc0a1aba3437b6c3b',1,'parselib.transforms.typedef_filter.TypeDefCleanup.htype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae14195f5c6f050c0471274d5648b67e2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.htype()']]], - ['htype_158',['hType',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa28412197863791ad33a324a5aef4b4b7',1,'hnode::hNode']]], - ['htypealias_159',['htypealias',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#acc11392653266feb9b4c7ed2714b2e23',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['htypearray_160',['htypearray',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a5f133326a823a1660166f76d5a55883f',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['htypedef_161',['htypedef',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a25f960997deee0b8130a944608cfc6e4',1,'parselib.transforms.type_collector.TypeCollector.htypedef()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a2bf22c110cdaea1ec02f2b3f021a4a02',1,'parselib.transforms.typedef_filter.TypeDefFilter.htypedef()']]], - ['htypedef_162',['hTypedef',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aac30525ec28193477f9f94929424d75a8',1,'hnode::hNode']]], - ['htypefield_163',['hTypeField',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa5109d155a1665245d57e05edf803e06b',1,'hnode::hNode']]], - ['htypefield_164',['htypefield',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a34e51372eae4d5c44b51bceddd2ab5d4',1,'parselib::transforms::type_collector::TypeCollector']]], - ['htypefields_165',['htypefields',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#aba43b1b5a042a2d626f85ed44393f6f3',1,'parselib::transforms::type_collector::TypeCollector']]], - ['htypeinfo_166',['htypeinfo',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a57335e1c9a7e60968c2519af2224aa99',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htypeinfo_167',['hTypeinfo',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aae6d666290690df715a6d79f88698a586',1,'hnode::hNode']]], - ['htypeint_168',['htypeint',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aac53cf940a368e6072b4153ac4c0bef6',1,'parselib.transforms.literal_expansion.LiteralExpansion.htypeint()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ab89b656f4cf87cde49a7a8fa07d99154',1,'parselib.transforms.type_collector.TypeCollector.htypeint()']]], - ['htypetemplateparam_169',['hTypeTemplateParam',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa2d1b9c51076eeaf948aae7aa5699cc77',1,'hnode::hNode']]], - ['htypetemplateparam_170',['htypetemplateparam',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a4cb4dd5ebb371ab5fdc634830bef1670',1,'parselib::transforms::type_collector::TypeCollector']]], - ['htypetemplateparams_171',['htypetemplateparams',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ac9f3092f5ff6d10b806e86a4d21999ad',1,'parselib::transforms::type_collector::TypeCollector']]], - ['hunimpl_172',['hUnimpl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaec45e55acf7d9769072f3b9201a60733',1,'hnode::hNode']]], - ['hunop_173',['hunop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b4652770f0b59fd58c0ee7a0a24a72f',1,'parselib.transforms.alias_translation.AliasTranslation.hunop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aed949cd7808e3f90b315f21749bd4e91',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hunop()']]], - ['hunop_174',['hUnop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf4997efc92295c6d206795f3d700ced3',1,'hnode::hNode']]], - ['hvalchange_175',['hvalchange',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa9203636287f950b0d33565441432e92',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hvarassign_176',['hVarAssign',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa48dd9e8af69ea3ae82d8d68a6255a78f',1,'hnode::hNode']]], - ['hvardecl_177',['hVardecl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7130ca29d7a773192c8bbf6546ad9d4b',1,'hnode::hNode']]], - ['hvardeclrn_178',['hVardeclrn',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9d3bd65fd86dac688192581457ff3146',1,'hnode::hNode']]], - ['hvarinit_179',['hVarInit',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa764c274417bc76e52305b25540ecf620',1,'hnode::hNode']]], - ['hvarinitlist_180',['hVarInitList',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa76fad1c0b8879497f8243151fe0e75bb',1,'hnode::hNode']]], - ['hvarinitlist_181',['hvarinitlist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a945707207f38ce0f3a8dcbf6bc433b62',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hvarref_182',['hvarref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a7a0a929d491628697f9141d58df4957d',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hvarref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7e2a92eca53558bc1752b15ca647bfb2',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hvarref()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a1cdba60c6bbada959e1f3acb1796f5e9',1,'parselib.transforms.literal_expansion.LiteralExpansion.hvarref()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#af5bc9233ecdd93a0f39f4bfab6b38970',1,'parselib.transforms.type_collector.TypeCollector.hvarref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8c7b8a0c1705a25950f89ce84c9ad991',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvarref()']]], - ['hvarref_183',['hVarref',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa05b43acc11c98be698b53ee7a8b1a373',1,'hnode::hNode']]], - ['hwait_184',['hWait',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8aa66cc69c6e755b354a9aa8756b72dd',1,'hnode::hNode']]], - ['hwait_185',['hwait',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6f04f7970b9d0997723806aaa77b5f8c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hwhilestmt_186',['hWhileStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aae53f0a17e016a9f29a7e8b0c853b9b90',1,'hnode::hNode']]] + ['hfieldaccess_68',['hfieldaccess',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a63da1180888e63b601f24c23a3926bf7',1,'parselib.transforms.literal_expansion.LiteralExpansion.hfieldaccess()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#accd96dabbef477bcd92b45c70f2f10c3',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.hfieldaccess(self, tree)']]], + ['hfieldname_69',['hfieldname',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ac32037e3565221b0a159c68e151b72eb',1,'parselib::transforms::portbinding_recollect::LowerComplexPort']]], + ['hforstmt_70',['hForStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabc75df9eabe5000d8d0f5625d9e18299',1,'hnode::hNode']]], + ['hfunc_5fname_5fmap_5ft_71',['hfunc_name_map_t',['../classhnode_1_1hfunc__name__map__t.html',1,'hnode']]], + ['hfunction_72',['hFunction',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa62e610bd47b70179836829e5adee035d',1,'hnode::hNode']]], + ['hfunction_73',['hfunction',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a49f12588ede2effeb2aa08a8a30a6e9f',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunction()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a7d4b3a56ea7bd17e8de7d8c1ee294e85',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hfunction()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ae7fd3b27526e250f177f5de10d93d85a',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hfunction()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afdbf66016b731cfa572620dfc177d810',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunction()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ac5dc3b7eeb545a2db394dd042151a066',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunction()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2dc6d1933a68ca9f781f1f1b3992159c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunction()']]], + ['hfunctionbody_74',['hfunctionbody',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a1db36a84124e2a2b6efd071be5399e22',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['hfunctionlocalvars_75',['hfunctionlocalvars',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a3b4457b6e83827130f33b15e3fcf4e57',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ad5f2fb6ea53d0981299f04e272f81911',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#afaf3f1ed0fd8ada672d39dad8532f23b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a77e42150198b2bb22fe905c0efb5fcdc',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionlocalvars()']]], + ['hfunctionparami_76',['hFunctionParamI',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa75b36134944d27483463eb313d049f61',1,'hnode::hNode']]], + ['hfunctionparamio_77',['hFunctionParamIO',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa11db242901a2fee3d7128e166f75ce4c',1,'hnode::hNode']]], + ['hfunctionparamref_78',['hFunctionParamRef',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad2d8bbe39ddc790185485c6f3139019d',1,'hnode::hNode']]], + ['hfunctionparams_79',['hFunctionParams',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7d1e4f8f8f8bcbd5abf0a6d0499ed046',1,'hnode::hNode']]], + ['hfunctionparams_80',['hfunctionparams',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aa5a427252cdf24377ad0fc5cf0b810ea',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af8ae5cc39ad08c0dd32cf365a2be45c8',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a77a72766da59f7d938f2b4ef68d2353a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionparams()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1837e51f7961c2fd982571cffa576220',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionparams()']]], + ['hfunctionrettype_81',['hFunctionRetType',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8ab9caa329b384da5555ac64d82441ec',1,'hnode::hNode']]], + ['hfunctionrettype_82',['hfunctionrettype',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aefa4397f350946a0af4be47f63ff01f4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hgenerateblock_83',['hgenerateblock',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a34e3c7cf7484396a90e6761146d03510',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hgenvardecl_84',['hgenvardecl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2f381e7eedeb8aeab38a71f049c9e774',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hi_85',['hi',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a171fdfef63265b226a4bcb9b35fd8dea',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], + ['hier_86',['hier',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a3b538845cffc6d52b8725b0aa2dc4dae',1,'parselib::transforms::structure_collector::StructureCollector']]], + ['hifstmt_87',['hIfStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa5d704246c902758be5a63641f989cf36',1,'hnode::hNode']]], + ['hint_88',['hInt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa72476ed457a1d7362ce4223992e5b2b5',1,'hnode::hNode']]], + ['hlast_89',['hLast',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaed66336bacae978f9682ab7eea1ee52f',1,'hnode::hNode']]], + ['hliteral_90',['hLiteral',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9bf2b1c2f3d86deb343415e9b4617a77',1,'hnode::hNode']]], + ['hliteral_91',['hliteral',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8b673973a69eccbf27b1ce0960ecee5c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hlocalvarsp_92',['hlocalvarsp',['../classsystemc__hdl_1_1HDLThread.html#acaa8ae2311a3711bfbc0267af37f8d2d',1,'systemc_hdl::HDLThread']]], + ['hlrotate_93',['hlrotate',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae396164763249931fd4cff6b65cb27e1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hmethod_94',['hMethod',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa3e7e1abdb7bc660b28d6f5c705f215a3',1,'hnode::hNode']]], + ['hmethodcall_95',['hMethodCall',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8d173ec85480d3a93652c55c658f86fe',1,'hnode::hNode']]], + ['hmethodcall_96',['hmethodcall',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a254a841b7b73a0bcc93b894d0a4b0a86',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmethodcall()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a096716a5b33541ac8554939816df2457',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmethodcall()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a170bfed67ddd699a1b4ec7937d429152',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmethodcall()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6b94abfeffdd25a1246f9face93784c4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmethodcall()']]], + ['hmoddecl_97',['hModdecl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9afe830a6c9bb071ed899b0083d9d15a',1,'hnode::hNode']]], + ['hmodinitblock_98',['hModinitblock',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf0073c7b0ed9196ff97f809885ccf3a3',1,'hnode::hNode']]], + ['hmodinitblock_99',['hmodinitblock',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a8d5cf282e8fccea1469c4ea1e9a88f59',1,'parselib.transforms.port_expansion.PortExpansion.hmodinitblock()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a21b59333a9a10b69256dd2aabe6f868b',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.hmodinitblock()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a89b6312f0e597762be5d727d67ec0be5',1,'parselib.transforms.sensevar_movement.SensevarMovement.hmodinitblock()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa46e97edd55501747cf3d37975038017',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmodinitblock()']]], + ['hmodinst_5fname_5fmap_5ft_100',['hmodinst_name_map_t',['../namespacehnode.html#ae5a136465a64b865624526c06cb43bc8',1,'hnode']]], + ['hmodule_101',['hModule',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa92b7098df124f052f5f31c4323009517',1,'hnode::hNode']]], + ['hmodule_102',['hmodule',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a0e27319c8ad07fff6ccd6d68772c785c',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmodule()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a30bcf09e9e3f48bd9d1a137e5f665ff8',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hmodule()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae6056dcc3f8fc698da8deb45e3b9189c',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmodule()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a5464b3ef86c0326d46627acd6b89f206',1,'parselib.transforms.interface_generation.InterfaceGeneration.hmodule()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a0e5ddbedabeb52899b2a48e8f4174322',1,'parselib.transforms.interface_generation.InterfaceReplacement.hmodule()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a77742524a349ffde51299b176b24f01e',1,'parselib.transforms.literal_expansion.LiteralExpansion.hmodule()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#af12b99caf1db89767d66642d8a177f28',1,'parselib.transforms.node_movement.NodeMovement.hmodule()'],['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html#a45326f32f2ca3124993c1097bdf1412d',1,'parselib.transforms.passes.PrettyPrintModule.hmodule()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a685532569f8c681b1c266608f60a3295',1,'parselib.transforms.port_expansion.PortExpansion.hmodule()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#ad944d91fcac2a01a3f796749a705a21b',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.hmodule()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a9242046289bd24018be730181fdc9543',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.hmodule()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ac8bf6705430c76c2fb72cf5c3060b253',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.hmodule()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#af565781dff9a73ede9f280348114ca02',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.hmodule()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a443177fd16085726f1cb912f545ff940',1,'parselib.transforms.sensevar_movement.SensevarMovement.hmodule()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a14eee44280f334b0c55288f14383fc88',1,'parselib.transforms.structure_collector.StructureCollector.hmodule()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a3a0980d5e01ee6800344fceb98f9074d',1,'parselib.transforms.type_collector.TypeCollector.hmodule()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a650b7edae6ab9e3af555d0aee35865fc',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmodule()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2a5203b9cfd0fee95a795d45eceee7db',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmodule()']]], + ['hnamedsensvar_103',['hnamedsensvar',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a72137b9781d39c2104992b02685cfc65',1,'parselib.transforms.sensevar_movement.SensevarMovement.hnamedsensvar()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ace00c82a01a8bfd20239eab67a6a02dd',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hnamedsensvar()']]], + ['hnewpb_104',['hnewpb',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a4e57797945f1096c2b9eb20af61c605a',1,'systemc_hdl::HDLConstructorHcode']]], + ['hnewsens_105',['hnewsens',['../classsystemc__hdl_1_1HDLConstructorHcode.html#afca50bae03fd257b2420ebda5df3a5a9',1,'systemc_hdl::HDLConstructorHcode']]], + ['hnode_106',['hNode',['../classhnode_1_1hNode.html',1,'hnode::hNode'],['../classhnode_1_1hNode.html#a16258c9b7990cde944815124ccddd4bd',1,'hnode::hNode::hNode(bool lf)'],['../classhnode_1_1hNode.html#aa8237ce3fce5b886d6220282572a3071',1,'hnode::hNode::hNode(hdlopsEnum h)'],['../classhnode_1_1hNode.html#ac72ce07937a6dfb6b691737a2ff8d748',1,'hnode::hNode::hNode(string s, hdlopsEnum h)']]], + ['hnode_107',['hnode',['../namespacehnode.html',1,'']]], + ['hnode_2eh_108',['hNode.h',['../hNode_8h.html',1,'']]], + ['hnodedeepcopy_109',['HnodeDeepCopy',['../classsystemc__hdl_1_1HDLConstructorHcode.html#adea88dbd8d04f1b28ce8f35337fa4425',1,'systemc_hdl::HDLConstructorHcode']]], + ['hnodeen_110',['HNODEen',['../hNode_8h.html#a64eb54355c84a41ba54190a6d70e5296',1,'hNode.h']]], + ['hnodep_111',['hNodep',['../namespacehnode.html#ae5db0847c00e6e0627bc73df624628d0',1,'hnode']]], + ['hnodeptr_112',['hNodePtr',['../classsystemc__hdl_1_1StmtVisitor.html#ac891193aa537b589397175b34d63d25f',1,'systemc_hdl::StmtVisitor']]], + ['hnoop_113',['hNoop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabddc717fa80e2946c64ee71db5895d2a',1,'hnode::hNode']]], + ['hnoop_114',['hnoop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abb931cefc32b39aa693561f0ee06adc1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hnsbinop_115',['hnsbinop',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a754267c03f07541e79669ab7a4d18645',1,'parselib.transforms.comma_transformation.CommaTransformation.hnsbinop()'],['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#adb7e0b5705dc36a347d76629ebc4433c',1,'parselib.transforms.node_merge.NodeMergePass.hnsbinop()']]], + ['horreduce_116',['horreduce',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad1bd82cba02bcaba64a7a8b645243b7b',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hportbinding_117',['hPortbinding',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8da3b69601419fedeb1d70f566d04b99',1,'hnode::hNode']]], + ['hportbindings_118',['hPortbindings',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf7503198e54eccbc56b5114c1bad9aef',1,'hnode::hNode']]], + ['hportin_119',['hPortin',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa58853611395b2547e2d3ea95af56fa7e',1,'hnode::hNode']]], + ['hportio_120',['hPortio',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa2723554804be91bb4bb86a239e72ed4a',1,'hnode::hNode']]], + ['hportout_121',['hPortout',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa0d531f06667d6e58a741dd7ad6715f0b',1,'hnode::hNode']]], + ['hportsigvarlist_122',['hPortsigvarlist',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa91d0c02338645fbe332d7a58fdd317be',1,'hnode::hNode']]], + ['hpostfix_123',['hPostfix',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aae44bcffd6a5e2ce82078e345572100db',1,'hnode::hNode']]], + ['hpostfix_124',['hpostfix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adad090e1b690c5be6e16e51a19cd5c90',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hprefix_125',['hPrefix',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa48d7883a19a29b109db1379b6d763248',1,'hnode::hNode']]], + ['hprefix_126',['hprefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a24a64ffd7a138df7f927f1a17e20d443',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hprocess_127',['hProcess',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad65d9703d79ff15c0013ac48e7be4eaf',1,'hnode::hNode']]], + ['hprocess_128',['hprocess',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a37af5a440d35f4a0ed019ed3ef8010ef',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hprocess()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a94d04faa21eb663e1607563562c23d10',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hprocess()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae655aef840182f33d8ca093aee05b704',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hprocess()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#ae03d4537e364497457d7131f8806e4a5',1,'parselib.transforms.interface_generation.InterfaceReplacement.hprocess()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#aa26213b7203db48414ff355cb2be6a74',1,'parselib.transforms.node_movement.NodeMovement.hprocess()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#aca916c6c246099e0ca4094a1b08268bf',1,'parselib.transforms.sensevar_movement.SensevarMovement.hprocess()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5f1d7e77bcb58c6c810101a67b21b367',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hprocess()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac25ffa4fa2dc061667d8505740ba9214',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hprocess()']]], + ['hprocesses_129',['hProcesses',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad97d04c5f521fb662ee2b16b9b997605',1,'hnode::hNode']]], + ['hreturnstmt_130',['hReturnStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaa48df7c8fc7cd9ab2dca79c74874af31',1,'hnode::hNode']]], + ['hreturnstmt_131',['hreturnstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aacb98c9929d0759fbdc09ea3900673e5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hscmax_132',['hscmax',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a008e2b129046cebd70447a0de32665d4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hscmin_133',['hscmin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac49eeebc0eee0b6bfa0809639ec612c7',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hsensedge_134',['hSensedge',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aab9cc835120330cb7aabc24aa8553ffb4',1,'hnode::hNode']]], + ['hsensedge_135',['hsensedge',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a7612618ef456c3e98a3c8711233bd78e',1,'parselib.transforms.alias_translation.AliasTranslation.hsensedge()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aebfd3610d499c8261a70ff3890e94ea9',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensedge()']]], + ['hsenslist_136',['hSenslist',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa47aeff74d770610b20fbe7c27ea6e8e5',1,'hnode::hNode']]], + ['hsenslist_137',['hsenslist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af846a05be803edf503d678176a7ddd82',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsenslist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aaef80ae1ed0f080c0e6f7ee40f50f662',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsenslist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9c65df59acc46315226cad6c46c1ef80',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsenslist()']]], + ['hsensvar_138',['hSensvar',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7c7768416c1c6ca9d29a4b239295f524',1,'hnode::hNode']]], + ['hsensvar_139',['hsensvar',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a25dc7adff5bd2146353976093b2027eb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsensvar()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a520bd7c4cd16bc122c81850f5b3cc411',1,'parselib.transforms.literal_expansion.LiteralExpansion.hsensvar()']]], + ['hsensvars_140',['hsensvars',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5748aa7bae1c58cbb4b46b098e6fb039',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsensvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3de7cc9aa6ecc9327aa1ebf96ba1f476',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensvars()']]], + ['hsigassignl_141',['hSigAssignL',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aac26fcb503cc2039494750b9fd43d30e8',1,'hnode::hNode']]], + ['hsigassignl_142',['hsigassignl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa1a0416664b533a8209861cc616c8131',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hsigassignr_143',['hSigAssignR',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aabc72adb185b3cb3ad0448874d4ef6f54',1,'hnode::hNode']]], + ['hsigdecl_144',['hSigdecl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaabf796c7c5b53ca37a43629dd09aedbd',1,'hnode::hNode']]], + ['hsimplefunc_5fname_5fmap_5ft_145',['hsimplefunc_name_map_t',['../namespacehnode.html#ab787563a011c95e78e47e5d326dde32b',1,'hnode']]], + ['hslice_146',['hslice',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad21d1ae316d24e1045ba3d277138fcde',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hslice()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad6186eea39f7801a7333c4290c762c94',1,'parselib.transforms.slice_merge.SliceMerge.hslice()']]], + ['hsubassign_147',['hsubassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#abeea4c275a03d67c884e5129a36b427a',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['hswitchcase_148',['hSwitchCase',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aad3bad4f5cc588d21d13eda1fabb29abd',1,'hnode::hNode']]], + ['hswitchdefault_149',['hSwitchDefault',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7fe3993b5175c65f3c1285cb5c6e7f56',1,'hnode::hNode']]], + ['hswitchstmt_150',['hSwitchStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa4e8a38af6823c1ae70f0fce46af95b4d',1,'hnode::hNode']]], + ['hthread_151',['hThread',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa0857aa30b97843016980bac239816bda',1,'hnode::hNode']]], + ['hthread_152',['hthread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aedabd5c66b0a225e3d51298de9f8be8a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hthreadblocksp_153',['hthreadblocksp',['../classsystemc__hdl_1_1HDLThread.html#a2f1ef686063d34ec0225059c9aca5e9a',1,'systemc_hdl::HDLThread']]], + ['hthreadfunction_154',['hThreadFunction',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa6aedf7858b7395716424fae1728553cb',1,'hnode::hNode']]], + ['hthreadswitch_155',['hthreadswitch',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9926cce0e6cdff9702335d8bbbe03ae4',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a20cea867b182fc1d5aa7076f9fe92da1',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab51a050660f427f82a87f1de207c37f1',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthreadswitch(self, tree)']]], + ['hthreadsync_156',['hthreadsync',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2e9919e0460d78474f3fa1d98760f3a5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htoint_157',['htoint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a86b0f9982fd638e79b76b93dd729c60e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htolong_158',['htolong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5a8c3ad5128c58e144128b5fe0ffedfd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htouint_159',['htouint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9484512864190461090af1781c8f9901',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htoulong_160',['htoulong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac4fdc30a8a769726e3f35359d585adca',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htype_161',['hType',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa28412197863791ad33a324a5aef4b4b7',1,'hnode::hNode']]], + ['htype_162',['htype',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a1019802de34078af5e4361f2f2d1bc6f',1,'parselib.transforms.alias_translation.AliasTranslation.htype()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aa453750e23036adef812e38fca508df4',1,'parselib.transforms.literal_expansion.LiteralExpansion.htype()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#abdb81bb71e2bb6f83772f1bfa3a2a7fc',1,'parselib.transforms.node_movement.ArrayPortMovement.htype()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a8db8e3db81f9ad75eaec2da9fbeff21f',1,'parselib.transforms.type_collector.TypeCollector.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a9092937b523ee735ef38e9c415a70614',1,'parselib.transforms.typedef_filter.TypeDefFilter.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a0dda55ead00c86bcc0a1aba3437b6c3b',1,'parselib.transforms.typedef_filter.TypeDefCleanup.htype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae14195f5c6f050c0471274d5648b67e2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.htype()']]], + ['htypealias_163',['htypealias',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#acc11392653266feb9b4c7ed2714b2e23',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['htypearray_164',['htypearray',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a5f133326a823a1660166f76d5a55883f',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['htypedef_165',['hTypedef',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aac30525ec28193477f9f94929424d75a8',1,'hnode::hNode']]], + ['htypedef_166',['htypedef',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a25f960997deee0b8130a944608cfc6e4',1,'parselib.transforms.type_collector.TypeCollector.htypedef()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a2bf22c110cdaea1ec02f2b3f021a4a02',1,'parselib.transforms.typedef_filter.TypeDefFilter.htypedef()']]], + ['htypefield_167',['hTypeField',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa5109d155a1665245d57e05edf803e06b',1,'hnode::hNode']]], + ['htypefield_168',['htypefield',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a34e51372eae4d5c44b51bceddd2ab5d4',1,'parselib::transforms::type_collector::TypeCollector']]], + ['htypefields_169',['htypefields',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#aba43b1b5a042a2d626f85ed44393f6f3',1,'parselib::transforms::type_collector::TypeCollector']]], + ['htypeinfo_170',['hTypeinfo',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aae6d666290690df715a6d79f88698a586',1,'hnode::hNode']]], + ['htypeinfo_171',['htypeinfo',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a1d3ff7c963463a11c54df0b6cfc49d59',1,'parselib.transforms.interface_generation.InterfaceGeneration.htypeinfo()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a57335e1c9a7e60968c2519af2224aa99',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.htypeinfo()']]], + ['htypeint_172',['htypeint',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aac53cf940a368e6072b4153ac4c0bef6',1,'parselib.transforms.literal_expansion.LiteralExpansion.htypeint()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ab89b656f4cf87cde49a7a8fa07d99154',1,'parselib.transforms.type_collector.TypeCollector.htypeint()']]], + ['htypetemplateparam_173',['hTypeTemplateParam',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa2d1b9c51076eeaf948aae7aa5699cc77',1,'hnode::hNode']]], + ['htypetemplateparam_174',['htypetemplateparam',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a4cb4dd5ebb371ab5fdc634830bef1670',1,'parselib::transforms::type_collector::TypeCollector']]], + ['htypetemplateparams_175',['htypetemplateparams',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ac9f3092f5ff6d10b806e86a4d21999ad',1,'parselib::transforms::type_collector::TypeCollector']]], + ['hunimpl_176',['hUnimpl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaec45e55acf7d9769072f3b9201a60733',1,'hnode::hNode']]], + ['hunop_177',['hUnop',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aaf4997efc92295c6d206795f3d700ced3',1,'hnode::hNode']]], + ['hunop_178',['hunop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b4652770f0b59fd58c0ee7a0a24a72f',1,'parselib.transforms.alias_translation.AliasTranslation.hunop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aed949cd7808e3f90b315f21749bd4e91',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hunop(self, tree)']]], + ['hvalchange_179',['hvalchange',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa9203636287f950b0d33565441432e92',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hvarassign_180',['hVarAssign',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa48dd9e8af69ea3ae82d8d68a6255a78f',1,'hnode::hNode']]], + ['hvardecl_181',['hVardecl',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa7130ca29d7a773192c8bbf6546ad9d4b',1,'hnode::hNode']]], + ['hvardeclrn_182',['hVardeclrn',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa9d3bd65fd86dac688192581457ff3146',1,'hnode::hNode']]], + ['hvarinit_183',['hVarInit',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa764c274417bc76e52305b25540ecf620',1,'hnode::hNode']]], + ['hvarinitlist_184',['hVarInitList',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa76fad1c0b8879497f8243151fe0e75bb',1,'hnode::hNode']]], + ['hvarinitlist_185',['hvarinitlist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a945707207f38ce0f3a8dcbf6bc433b62',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hvarref_186',['hVarref',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa05b43acc11c98be698b53ee7a8b1a373',1,'hnode::hNode']]], + ['hvarref_187',['hvarref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a7a0a929d491628697f9141d58df4957d',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hvarref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7e2a92eca53558bc1752b15ca647bfb2',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hvarref()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#aa07087441f7e639e39f82aa22614a0f7',1,'parselib.transforms.interface_generation.InterfaceReplacement.hvarref()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a1cdba60c6bbada959e1f3acb1796f5e9',1,'parselib.transforms.literal_expansion.LiteralExpansion.hvarref()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#a0ed5cf8d93040a165e487c052ba899cd',1,'parselib.transforms.literal_expansion.LiteralExpansion2.hvarref()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#aa2b57ead2986a5f1dfe0698ab4844567',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.hvarref()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a15f683b1e690fd9eeb9c88458cade178',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.hvarref()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#af5bc9233ecdd93a0f39f4bfab6b38970',1,'parselib.transforms.type_collector.TypeCollector.hvarref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8c7b8a0c1705a25950f89ce84c9ad991',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvarref()']]], + ['hwait_188',['hWait',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aa8aa66cc69c6e755b354a9aa8756b72dd',1,'hnode::hNode']]], + ['hwait_189',['hwait',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6f04f7970b9d0997723806aaa77b5f8c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hwhilestmt_190',['hWhileStmt',['../classhnode_1_1hNode.html#a72bcc22543c267571a24ec713633e39aae53f0a17e016a9f29a7e8b0c853b9b90',1,'hnode::hNode']]] ]; diff --git a/search/all_9.js b/search/all_9.js index c3046f7e..12eb5549 100644 --- a/search/all_9.js +++ b/search/all_9.js @@ -7,136 +7,153 @@ var searchData= ['idlit_4',['idlit',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ac6547a898ecf63b39d794d4440b3898a',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], ['ifstmt_5',['ifstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aed5b5fd119c9fe7e0f8d1a4a985eac93',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['iinterfaces_5f_6',['iinterfaces_',['../classsystemc__clang_1_1ModuleInstance.html#aa512f72d3c57fac67933beb78526e4a1',1,'systemc_clang::ModuleInstance']]], - ['in_5ffor_5finit_7',['in_for_init',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1aa0839f1911a8aebd8d3d9a664ed8a8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['in_5ffor_5finit_7',['in_for_init',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a971445bf3ffe30080eec1cbaf67c7750',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['in_5fports_5f_8',['in_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6cd9c1fbdce7a63e2290869a3a2d91bd',1,'sc_ast_matchers::PortMatcher::in_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#aa00bfa3f29b80ff35a14d452e8eb3a02',1,'systemc_clang::ModuleInstance::in_ports_']]], ['inc_5findent_9',['inc_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac127d9eb80498293c8a133c2132f09f9',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['indent_5fcharacter_10',['indent_character',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a062b064734e7160348d624fb02fe2f3a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['indent_5finc_11',['indent_inc',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aaf2d58e737f8f426ee57243d54d742f3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['indent_5fcharacter_10',['indent_character',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a89ae5836cbc33b58a6316b8b2f71b0ad',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['indent_5finc_11',['indent_inc',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5ac9b1a595141c231a7b0620fbf49347',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['indent_5fstack_12',['indent_stack',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8036224acb17dc18b74915df4a349747',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['indexmaptype_13',['IndexMapType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a8d73d3e8a037eafac89d3561cbafb162',1,'sc_ast_matchers::utils::array_type']]], ['indexpairtype_14',['IndexPairType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a51fab4502d93ed9bfe3511c0fd03a142',1,'sc_ast_matchers::utils::array_type']]], - ['initialise_15',['initialise',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ac0312fbc7b36799105cc2f377a1a2c2b',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['initialize_16',['initialize',['../classsystemc__clang_1_1SuspensionAutomata.html#a0f908649045abfaec56609f7980ac530',1,'systemc_clang::SuspensionAutomata']]], - ['initializegpumap_17',['initializeGpuMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aad741b181c5ecc30918fb7ba446c1cbc',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['inout_5fports_5f_18',['inout_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#ac8656e52700fdf69ef385e6053f413a6',1,'sc_ast_matchers::PortMatcher::inout_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a6466dfefe24da3ac00e228cb972957b8',1,'systemc_clang::ModuleInstance::inout_ports_']]], - ['insert_5fcurrent_5fmodule_5fvar_5ftype_19',['insert_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3479282fd489f2a1c4a441b3376d94b0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['insert_5fname_20',['insert_name',['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a19c7372efcfe70adc6bdf22348adec38',1,'parselib.transforms.name_stub.FuncParamNameStub.insert_name()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a5db43709ba6e569197cc101f4da9dc90',1,'parselib.transforms.name_stub.ProcessVarNameStub.insert_name()']]], - ['insert_5fport_21',['insert_port',['../classsc__ast__matchers_1_1PortMatcher.html#a4d4a0d38c8dcfd0cca88fdd4056fa92d',1,'sc_ast_matchers::PortMatcher']]], - ['insertall_22',['insertall',['../classhnode_1_1newname__map__t.html#adeb5df05ddbe2257a25a4d0b8888599e',1,'hnode::newname_map_t::insertall()'],['../classhnode_1_1hfunc__name__map__t.html#ada933c4dc906debde6786a4921634d21',1,'hnode::hfunc_name_map_t::insertall()']]], - ['insertelements_23',['insertElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a5ad544806e8e43304c5931dea5e0d56c',1,'systemc_clang::SplitCFGBlock']]], - ['inst_5flist_5fmodule_5fmap_5f_24',['inst_list_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a382835fd994c7c545cd265877b68c286',1,'systemc_clang::FindNetlist']]], - ['inst_5fmodule_5fmap_5f_25',['inst_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a8bf741a3f9c422cfa96323592144cdb4',1,'systemc_clang::FindNetlist']]], - ['inst_5fport_5fsignal_5fmap_5f_26',['inst_port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a5c239fe7f713261cbbd7eff4c7fdc205',1,'systemc_clang::FindNetlist']]], - ['instance_5fconstructor_5fname_5f_27',['instance_constructor_name_',['../classsystemc__clang_1_1PortBinding.html#a1f6c1768a1be812b51b968e00822853d',1,'systemc_clang::PortBinding']]], - ['instance_5fdecl_28',['instance_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a2a82a26b5175ffbd26146154cde7b054',1,'sc_ast_matchers::ModuleInstanceType']]], - ['instance_5fdecl_5f_29',['instance_decl_',['../classsystemc__clang_1_1ModuleInstance.html#a352b553a23314c7dbcb71fe2c02f860b',1,'systemc_clang::ModuleInstance']]], - ['instance_5finfo_5f_30',['instance_info_',['../classsystemc__clang_1_1ModuleInstance.html#ae87066c2ad1a38280c12059fec7cf51d',1,'systemc_clang::ModuleInstance']]], - ['instance_5flist_5f_31',['instance_list_',['../classsystemc__clang_1_1ModuleInstance.html#abbbf86afd09113e92c647eb1897dc084',1,'systemc_clang::ModuleInstance']]], - ['instance_5fliteral_5f_32',['instance_literal_',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a34ca285e3063551112d52b67fc262b4c',1,'sc_ast_matchers::InstanceArgumentMatcher']]], - ['instance_5fmap_5f_33',['instance_map_',['../classsc__ast__matchers_1_1InstanceMatcher.html#ac2b21c735d12ccf05460992aa415a0dc',1,'sc_ast_matchers::InstanceMatcher']]], - ['instance_5fmatcher_5f_34',['instance_matcher_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a177826a10a2e53d440b2fa0f6e47233e',1,'sc_ast_matchers::ModuleDeclarationMatcher::instance_matcher_'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ad4f23514978e9a5c52c87763c112b8f4',1,'sc_ast_matchers::NetlistMatcher::instance_matcher_']]], - ['instance_5fmodule_5fmap_5f_35',['instance_module_map_',['../classsystemc__clang_1_1Model.html#a23cffb90397641c7a1405a8145b94e3b',1,'systemc_clang::Model']]], - ['instance_5fname_36',['instance_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac2e3331654217483b96515b50fd7172d',1,'sc_ast_matchers::ModuleInstanceType']]], - ['instance_5fname_5f_37',['instance_name_',['../classsystemc__clang_1_1ModuleInstance.html#a0bebd9c3b1272b8cf32b04421953ba63',1,'systemc_clang::ModuleInstance']]], - ['instance_5fnames_38',['instance_names',['../structsc__ast__matchers_1_1ModuleInstanceType.html#aca4d97a4786fa633d27ed90ecca494a0',1,'sc_ast_matchers::ModuleInstanceType']]], - ['instance_5ftype_5f_39',['instance_type_',['../classsystemc__clang_1_1PortBinding.html#a2d1ca9566823728e7bef38aad186dcde',1,'systemc_clang::PortBinding']]], - ['instance_5ftype_5fdecl_5f_40',['instance_type_decl_',['../classsystemc__clang_1_1PortBinding.html#a840edc4d7d15b2584ff27d923cc67a4e',1,'systemc_clang::PortBinding']]], - ['instance_5fvar_5fname_5f_41',['instance_var_name_',['../classsystemc__clang_1_1PortBinding.html#afda27f653f063b97e5434d85a4e80a7f',1,'systemc_clang::PortBinding']]], - ['instanceargumentmatcher_42',['InstanceArgumentMatcher',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html',1,'sc_ast_matchers']]], - ['instancedeclarations_43',['InstanceDeclarations',['../classsc__ast__matchers_1_1InstanceMatcher.html#a2565d2317cefa7d03a6765d5370a15e5',1,'sc_ast_matchers::InstanceMatcher']]], - ['instancedeclarationstype_44',['InstanceDeclarationsType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a365a93a3acf5d3e4296b0fc3b72de11d',1,'sc_ast_matchers::InstanceMatcher']]], - ['instancedecltype_45',['InstanceDeclType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a70b046aa0e773c870c2f169dc09b11a6',1,'sc_ast_matchers::InstanceMatcher']]], - ['instancefunctionsautomaptype_46',['instanceFunctionSautoMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f78949942978b1e282d6240cb925280',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['instancefunctionsautopairtype_47',['instanceFunctionSautoPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a37c48830e073af6d4a513e6ce0762729',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['instancelistmodulemaptype_48',['instanceListModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#a7b975fb543df586174c99f6d4ed81800',1,'systemc_clang::FindNetlist']]], - ['instancelistmodulepairtype_49',['instanceListModulePairType',['../classsystemc__clang_1_1FindNetlist.html#acae6cc93b649887a31e0d0c93abb6e6e',1,'systemc_clang::FindNetlist']]], - ['instancelisttype_50',['InstanceListType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#ac3dae740827d5f892268a7334bc01a65',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['instancematcher_51',['InstanceMatcher',['../classsc__ast__matchers_1_1InstanceMatcher.html',1,'sc_ast_matchers']]], - ['instancematcher_2eh_52',['InstanceMatcher.h',['../InstanceMatcher_8h.html',1,'']]], - ['instancemodulemaptype_53',['instanceModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#a52b44a67e9256fb58d17050a35acb953',1,'systemc_clang::FindNetlist']]], - ['instancemodulepairtype_54',['instanceModulePairType',['../classsystemc__clang_1_1FindNetlist.html#a5d3bc5e0b09c88b902fbf73e7ba91eb8',1,'systemc_clang::FindNetlist']]], - ['instancename_55',['instanceName',['../classsystemc__clang_1_1ModuleInstance.html#a0c321f2d59affb19a09b5649c7522126',1,'systemc_clang::ModuleInstance']]], - ['instanceportsignalmaptype_56',['instancePortSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#a0c687bf7d0bac3a2fb59d5fa889435c1',1,'systemc_clang::FindNetlist']]], - ['instanceportsignalpairtype_57',['instancePortSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a9a9dfe4431764501134dd2aa80eff673',1,'systemc_clang::FindNetlist']]], - ['instancesautomaptype_58',['instanceSautoMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a1683472a1fedaef624ae20555ea7a75c',1,'systemc_clang::EntryFunctionContainer']]], - ['instancesautopairtype_59',['instanceSautoPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a521be2ae50e3a42214fcf65a5fe35a36',1,'systemc_clang::EntryFunctionContainer']]], - ['instancesuscfgmaptype_60',['instanceSusCFGMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a68cc5022c7cfac574696d20d689ba64e',1,'systemc_clang::EntryFunctionContainer']]], - ['instancesuscfgpairtype_61',['instanceSusCFGPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a754260d7cb55f89ca98735d656714a10',1,'systemc_clang::EntryFunctionContainer']]], - ['instantiate_62',['instantiate',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ae8fd48a5ba16beded4b314b6f9e23fa0',1,'parselib::transforms::type_node::TypeNode']]], - ['instream_5fports_5f_63',['instream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a9ed1d0cc8e4537b8862a0422640e9550',1,'sc_ast_matchers::PortMatcher']]], - ['interfacedecl_64',['InterfaceDecl',['../classsystemc__clang_1_1InterfaceDecl.html',1,'systemc_clang::InterfaceDecl'],['../classsystemc__clang_1_1InterfaceDecl.html#a9332ca5080c3b334f930357b101079f6',1,'systemc_clang::InterfaceDecl::InterfaceDecl()'],['../classsystemc__clang_1_1InterfaceDecl.html#a8e0fb332423dd5aa0cd56e17e1418947',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1InterfaceDecl.html#a65a3b339c0a87a5656f463f95c4f57d7',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const InterfaceDecl &)']]], - ['interfacedecl_2ecpp_65',['InterfaceDecl.cpp',['../InterfaceDecl_8cpp.html',1,'']]], - ['interfacedecl_2eh_66',['InterfaceDecl.h',['../InterfaceDecl_8h.html',1,'']]], - ['interfacemaptype_67',['interfaceMapType',['../classsystemc__clang_1_1ModuleInstance.html#a846e080d4532320ccc15fa375c1ca0b4',1,'systemc_clang::ModuleInstance']]], - ['interfacepairtype_68',['interfacePairType',['../classsystemc__clang_1_1ModuleInstance.html#a89a030fbffc20e47a275dcec04fd80a7',1,'systemc_clang::ModuleInstance']]], - ['interfacetype_69',['interfaceType',['../classsystemc__clang_1_1FindTLMInterfaces.html#a7ec171b2fc273ec1012eb9b9d3a5d3a8',1,'systemc_clang::FindTLMInterfaces']]], - ['invalidcommandlineformat_70',['InvalidCommandlineFormat',['../classsystemc-clang_1_1InvalidCommandlineFormat.html',1,'systemc-clang']]], - ['invoke_5fsysc_71',['invoke_sysc',['../namespacesystemc-clang.html#a0f537a9b4ced662bd2ee93c80450675b',1,'systemc-clang']]], - ['invoke_5ftranslation_72',['invoke_translation',['../namespacesystemc-clang.html#adea6320ae04e827452424c126c09d9e8',1,'systemc-clang']]], - ['iointerfaces_5f_73',['iointerfaces_',['../classsystemc__clang_1_1ModuleInstance.html#a8c6e8f2294684f47209fbd93da6f3000',1,'systemc_clang::ModuleInstance']]], - ['is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_74',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], - ['is_5farray_75',['is_array',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad9056089538277c3b0fb3b04ed7a00c9',1,'sc_ast_matchers::ModuleInstanceType']]], - ['is_5farray_5f_76',['is_array_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afdb810b7b04e23673a3c2e64b4cb3001',1,'sc_ast_matchers::ModuleInstanceType::is_array_'],['../classsystemc__clang_1_1PortDecl.html#aaa40e3567d2a19a6f7310c455e4fc54d',1,'systemc_clang::PortDecl::is_array_']]], - ['is_5fconditional_5f_77',['is_conditional_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab5213c2a56b3dfda38fab7c6ba7fc770',1,'systemc_clang::SplitCFGBlock']]], - ['is_5fcustom_5ftype_78',['is_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ad70552aadbccb0ea098a2a24c22183ec',1,'parselib::transforms::type_collector::TypeCollector']]], - ['is_5fentry_5ffunction_5f_79',['is_entry_function_',['../classsystemc__clang_1_1FindEntryFunctions.html#ab67becfe5748fc2b42e23a2a739bc77a',1,'systemc_clang::FindEntryFunctions']]], - ['is_5ffield_5fdecl_80',['is_field_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ace8d813116395be7de22d9d492283a69',1,'sc_ast_matchers::ModuleInstanceType']]], - ['is_5ffound_81',['is_found',['../SuspensionAutomata_8cpp.html#aa6afbc1aa166921abdbfc5026b82e6bd',1,'SuspensionAutomata.cpp']]], - ['is_5fin_5fthread_82',['is_in_thread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab57a5d04355981229f109ace935acf8c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['is_5floop_5fwith_5ftwo_5fsucc_5f_83',['is_loop_with_two_succ_',['../classsystemc__clang_1_1SplitCFGBlock.html#a786da84150c6656defb973fe5703ee44',1,'systemc_clang::SplitCFGBlock']]], - ['is_5fmodule_5ftype_84',['is_module_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a894a547647d045df2ae6d3cab7740a67',1,'parselib::transforms::type_collector::TypeCollector']]], - ['is_5fnumeric_85',['is_numeric',['../namespacesystemc__hdl.html#aa4f5fdd5dce280588fa1f4c2bd033077',1,'systemc_hdl']]], - ['is_5fport_5fbinding_86',['is_port_binding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aaf3383774c18184d2c30bced2a7703dc',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['is_5freferenced_87',['is_referenced',['../classhnode_1_1newname__map__t.html#a4a857c2bd4d4d0e67df4688b20bfe22f',1,'hnode::newname_map_t']]], - ['is_5fsigvar_88',['is_sigvar',['../namespacehnode.html#afc48114ade9b9a254d613931e9700412',1,'hnode']]], - ['is_5ftree_5ftype_89',['is_tree_type',['../namespaceparselib_1_1utils.html#ada22bd336ce6e981444404195dbf52ff',1,'parselib::utils']]], - ['is_5ftree_5ftypes_90',['is_tree_types',['../namespaceparselib_1_1utils.html#a26ef0ce9ae9344354c4b736104aac473',1,'parselib::utils']]], - ['isarrayref_91',['isArrayRef',['../classsystemc__hdl_1_1HDLBody.html#acb24ea9063364dd72273afc1dad17a00',1,'systemc_hdl::HDLBody']]], - ['isarraytype_92',['isArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a4371dc69e15c57afbf4488d7897b8c83',1,'sc_ast_matchers::ModuleInstanceType']]], - ['isassignop_93',['isAssignOp',['../classsystemc__hdl_1_1HDLBody.html#a87368c8c56e554352e112391d4fe2b3f',1,'systemc_hdl::HDLBody']]], - ['isbreak_94',['isBreak',['../classsystemc__hdl_1_1HDLThread.html#a972b680ca8d671386ccd59f925849c27',1,'systemc_hdl::HDLThread']]], - ['isconditional_95',['isConditional',['../classsystemc__clang_1_1SplitCFG.html#a19c6657911e753a0ae1c1f19ff1fd843',1,'systemc_clang::SplitCFG::isConditional()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a8e466c8d66d3d6827fd6dcb0f39af2fd',1,'systemc_clang::SplitCFGBlock::isConditional()']]], - ['iscontinueorbreak_96',['isContinueorBreak',['../classsystemc__hdl_1_1HDLThread.html#a461585150e7f52f04e046f7b8221864c',1,'systemc_hdl::HDLThread']]], - ['iscxxmembercallexprsystemccall_97',['isCXXMemberCallExprSystemCCall',['../namespacesc__ast__matchers_1_1utils.html#a5c3e714775fb729e6229051ae1bc7565',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CallExpr *ce, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a762d4ffc37e02e7ca22ef105d5d19b7e',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::Type *type, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a962ecbfc9eb1a52e6a65b4fafbc1bafe',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CXXMemberCallExpr *mce)']]], - ['isdelta_98',['isDelta',['../classsystemc__clang_1_1State.html#a0ab7a0ef45c4b14ee93c4164643d7316',1,'systemc_clang::State']]], - ['isdeltawait_99',['isDeltaWait',['../classsystemc__clang_1_1SuspensionAutomata.html#af7df2014268b399d1c12383b4aeffd49',1,'systemc_clang::SuspensionAutomata']]], - ['isdiscovered_100',['isDiscovered',['../classsystemc__clang_1_1TreeNode.html#a0155728cd4c230ece1da3f7c484eb0d9',1,'systemc_clang::TreeNode']]], - ['iselementpresent_101',['isElementPresent',['../classsystemc__clang_1_1Utility.html#a807a4944329acdc8c6b8b4bbf36a59a4',1,'systemc_clang::Utility']]], - ['iselementwait_102',['isElementWait',['../classsystemc__clang_1_1SplitCFG.html#a4051803801ddb7825d517134c947ae3a',1,'systemc_clang::SplitCFG']]], - ['isevent_103',['isEvent',['../classsystemc__clang_1_1State.html#a2ea429b1fcd285943367cfe8a3f65c1d',1,'systemc_clang::State']]], - ['iseventwait_104',['isEventWait',['../classsystemc__clang_1_1SuspensionAutomata.html#abd8c75ab0e59dd6d7a02b96ce46e2845',1,'systemc_clang::SuspensionAutomata']]], - ['isfalsepathvalid_105',['isFalsePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4f1e630675ec488f5b4319a6106dbcc9',1,'systemc_clang::SplitCFGPathInfo']]], - ['isfound_106',['isFound',['../classsystemc__clang_1_1SuspensionAutomata.html#a9d159ea7b6661f0b73a8eb0d6feaa243',1,'systemc_clang::SuspensionAutomata::isFound(vector< SusCFG * >, SusCFG *)'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6449700bf45d287c4f9d3089a88a5c12',1,'systemc_clang::SuspensionAutomata::isFound(vector< Transition * >, Transition *)']]], - ['isgpufit_107',['isGPUFit',['../classsystemc__clang_1_1GPUMacro.html#a55930ac68902786908bf08cdcc4ccd13',1,'systemc_clang::GPUMacro::isGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#a37f2f99651a57efa46931a57bf813c2a',1,'systemc_clang::SusCFG::isGPUFit()']]], - ['isinitial_108',['isInitial',['../classsystemc__clang_1_1State.html#a643dfd2a6ebe7f21bc5697433c6d11d0',1,'systemc_clang::State']]], - ['isinnamespace_109',['isInNamespace',['../namespacesc__ast__matchers_1_1utils.html#abfc3024aff017fe55298314f2d4876ca',1,'sc_ast_matchers::utils::isInNamespace(const clang::ValueDecl *fd, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#af06574adfc294d7923625ee80056766d',1,'sc_ast_matchers::utils::isInNamespace(const clang::Type *tp, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a29be9e6c19c22227c0932fa82eea99ee',1,'sc_ast_matchers::utils::isInNamespace(const Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#abc2e19f4549ca64e136a29e36d76f24f',1,'sc_ast_matchers::utils::isInNamespace(const CallExpr *cexpr, llvm::StringRef name)'],['../namespacesc__ast__matchers_1_1utils.html#ae893ed3abbf227192e11c19697d6c56a',1,'sc_ast_matchers::utils::isInNamespace(const clang::Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a6f3712406d0a4d393a478847371ebd4c',1,'sc_ast_matchers::utils::isInNamespace(const clang::CallExpr *cexpr, llvm::StringRef name)']]], - ['isinstancefielddecl_110',['isInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a818b944b81001e7f539752c129fcce00',1,'systemc_clang::ModuleInstance']]], - ['islogicalop_111',['isLogicalOp',['../classsystemc__hdl_1_1HDLBody.html#a213d15671a95dcc8cb46eb663dc6a159',1,'systemc_hdl::HDLBody']]], - ['isloop_112',['isLoop',['../classsystemc__clang_1_1SplitCFG.html#a7540b2cc0f97bf5d546aea9fcbfa6d8e',1,'systemc_clang::SplitCFG']]], - ['isloopwithtwosuccessors_113',['isLoopWithTwoSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a9a95af2adbcdd9d375ea90a4131e10e2',1,'systemc_clang::SplitCFG::isLoopWithTwoSuccessors()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a73c9af1090827946f0f93d28f5ce1df4',1,'systemc_clang::SplitCFGBlock::isLoopWithTwoSuccessors()']]], - ['ismoduleclassdeclnull_114',['isModuleClassDeclNull',['../classsystemc__clang_1_1ModuleInstance.html#a11105db597dade49f96e63917d8cc7ca',1,'systemc_clang::ModuleInstance']]], - ['isnotifycall_115',['isNotifyCall',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abfd7ca3cb24ae7dcaa50b204c5a61066',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['isparentblock_116',['isParentBlock',['../classsystemc__clang_1_1SusCFG.html#aa3949a664ee91427a318509c0bd718ec',1,'systemc_clang::SusCFG']]], - ['isparentwaitblock_117',['isParentWaitBlock',['../classsystemc__clang_1_1SusCFG.html#afad9f5ac04f02ecd8ea1415e9b3a2918',1,'systemc_clang::SusCFG']]], - ['ispointertype_118',['isPointerType',['../classsystemc__clang_1_1PortDecl.html#afc190117dc6f05449283492299a9f489',1,'systemc_clang::PortDecl']]], - ['isposint_119',['isposint',['../classhnode_1_1util.html#aec9118a21d646bbc50205199825839fd',1,'hnode::util']]], - ['isresetasync_120',['isResetAsync',['../classsystemc__clang_1_1EntryFunctionContainer.html#a3cd1f83eb9d3e05dadf5d228e284f90f',1,'systemc_clang::EntryFunctionContainer']]], - ['isscbuiltintype_121',['isSCBuiltinType',['../classhnode_1_1util.html#aafceba1797eb2565e6cbdd6979e89adc',1,'hnode::util']]], - ['isscfunc_122',['isSCFunc',['../classhnode_1_1util.html#a3b5201193bd1bc94d041c1aacb9646e6',1,'hnode::util']]], - ['isscmacro_123',['isSCMacro',['../classhnode_1_1util.html#a758eac997df82bd7cd138b0559f7fbc3',1,'hnode::util']]], - ['isscmainfound_124',['isSCMainFound',['../classsystemc__clang_1_1FindSCMain.html#a1861400b10d1338b0c4cfaebe2881cf6',1,'systemc_clang::FindSCMain']]], - ['issctype_125',['isSCType',['../classhnode_1_1util.html#ad27505aecf9a91dfe8e4f4a0a53b68a7',1,'hnode::util']]], - ['isternaryoperator_126',['isTernaryOperator',['../classsystemc__clang_1_1SplitCFG.html#ac66d7a5b2ad7968079797a965124bf91',1,'systemc_clang::SplitCFG']]], - ['istimed_127',['isTimed',['../classsystemc__clang_1_1State.html#adcde585e5b1df5f64df6f0a194c901f7',1,'systemc_clang::State']]], - ['istimedwait_128',['isTimedWait',['../classsystemc__clang_1_1SuspensionAutomata.html#a131b474cba796a5d5e13fb7574c236da',1,'systemc_clang::SuspensionAutomata']]], - ['istreamports_5f_129',['istreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a8c5265bd212698e526e5a8194d75d7d0',1,'systemc_clang::ModuleInstance']]], - ['istruepath_130',['isTruePath',['../classsystemc__clang_1_1SplitCFG.html#a63606435093652063e3d8da0bacb6f0f',1,'systemc_clang::SplitCFG']]], - ['istruepathvalid_131',['isTruePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#abe2a39f9c28ff9e675eaf6e4a45281a2',1,'systemc_clang::SplitCFGPathInfo']]], - ['istypename_132',['isTypename',['../classhnode_1_1util.html#a9bba15dca969ac22cc4e1779e4ea7620',1,'hnode::util']]], - ['isuserclass_133',['isUserClass',['../classsystemc__hdl_1_1HDLBody.html#a6652deb6c88c01f811bf571d3904e703',1,'systemc_hdl::HDLBody']]], - ['isvalidmethod_134',['isValidMethod',['../classsystemc__hdl_1_1HDLMain.html#ad26d40f0cbf7d74468a39b2788a9b382',1,'systemc_hdl::HDLMain']]], - ['iswaitblock_135',['isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a196e62967d4a2820873c7833b037d836',1,'systemc_clang::SusCFG']]], - ['iswaitcall_136',['isWaitCall',['../classsystemc__clang_1_1SuspensionAutomata.html#af045e731c974b5b321f0c808d2fa5773',1,'systemc_clang::SuspensionAutomata']]], - ['iswaitstmt_137',['IsWaitStmt',['../classsystemc__hdl_1_1HDLThread.html#ad28af83a8bd64cbd5e79bf1db4f417e2',1,'systemc_hdl::HDLThread']]], - ['iterator_5fcategory_138',['iterator_category',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a2daf05f5672e47c000b8bb0468f2625c',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]] + ['initblock_5fvardecls_15',['initblock_vardecls',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a757a498e9eadc05f8849076a75c2f35e',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['initialise_16',['initialise',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ac0312fbc7b36799105cc2f377a1a2c2b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['initialize_17',['initialize',['../classsystemc__clang_1_1SuspensionAutomata.html#a0f908649045abfaec56609f7980ac530',1,'systemc_clang::SuspensionAutomata']]], + ['initializegpumap_18',['initializeGpuMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aad741b181c5ecc30918fb7ba446c1cbc',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['inout_5fports_5f_19',['inout_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#ac8656e52700fdf69ef385e6053f413a6',1,'sc_ast_matchers::PortMatcher::inout_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a6466dfefe24da3ac00e228cb972957b8',1,'systemc_clang::ModuleInstance::inout_ports_']]], + ['inportdecl_20',['inportdecl',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a71e50af5d987702ab6996e56cb20817c',1,'parselib.transforms.interface_generation.InterfaceGeneration.inportdecl()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#ab597d8ecb80a6bf8251f4d8123340ef3',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.inportdecl(self, tree)']]], + ['input_21',['INPUT',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a2bee35609674132e487e3039611adb25',1,'parselib::transforms::portbinding_recollect::PortDirectionCollector']]], + ['insert_5fcurrent_5fmodule_5fvar_5ftype_22',['insert_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3479282fd489f2a1c4a441b3376d94b0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['insert_5fname_23',['insert_name',['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a19c7372efcfe70adc6bdf22348adec38',1,'parselib.transforms.name_stub.FuncParamNameStub.insert_name()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a5db43709ba6e569197cc101f4da9dc90',1,'parselib.transforms.name_stub.ProcessVarNameStub.insert_name()']]], + ['insert_5fport_24',['insert_port',['../classsc__ast__matchers_1_1PortMatcher.html#a4d4a0d38c8dcfd0cca88fdd4056fa92d',1,'sc_ast_matchers::PortMatcher']]], + ['insertall_25',['insertall',['../classhnode_1_1newname__map__t.html#adeb5df05ddbe2257a25a4d0b8888599e',1,'hnode::newname_map_t::insertall()'],['../classhnode_1_1hfunc__name__map__t.html#ada933c4dc906debde6786a4921634d21',1,'hnode::hfunc_name_map_t::insertall()']]], + ['insertelements_26',['insertElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a5ad544806e8e43304c5931dea5e0d56c',1,'systemc_clang::SplitCFGBlock']]], + ['inst_5flist_5fmodule_5fmap_5f_27',['inst_list_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a382835fd994c7c545cd265877b68c286',1,'systemc_clang::FindNetlist']]], + ['inst_5fmodule_5fmap_5f_28',['inst_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a8bf741a3f9c422cfa96323592144cdb4',1,'systemc_clang::FindNetlist']]], + ['inst_5fport_5fsignal_5fmap_5f_29',['inst_port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a5c239fe7f713261cbbd7eff4c7fdc205',1,'systemc_clang::FindNetlist']]], + ['instance_5fconstructor_5fname_5f_30',['instance_constructor_name_',['../classsystemc__clang_1_1PortBinding.html#a1f6c1768a1be812b51b968e00822853d',1,'systemc_clang::PortBinding']]], + ['instance_5fdecl_31',['instance_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a2a82a26b5175ffbd26146154cde7b054',1,'sc_ast_matchers::ModuleInstanceType']]], + ['instance_5fdecl_5f_32',['instance_decl_',['../classsystemc__clang_1_1ModuleInstance.html#a352b553a23314c7dbcb71fe2c02f860b',1,'systemc_clang::ModuleInstance']]], + ['instance_5finfo_5f_33',['instance_info_',['../classsystemc__clang_1_1ModuleInstance.html#ae87066c2ad1a38280c12059fec7cf51d',1,'systemc_clang::ModuleInstance']]], + ['instance_5flist_5f_34',['instance_list_',['../classsystemc__clang_1_1ModuleInstance.html#abbbf86afd09113e92c647eb1897dc084',1,'systemc_clang::ModuleInstance']]], + ['instance_5fliteral_5f_35',['instance_literal_',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a34ca285e3063551112d52b67fc262b4c',1,'sc_ast_matchers::InstanceArgumentMatcher']]], + ['instance_5fmap_5f_36',['instance_map_',['../classsc__ast__matchers_1_1InstanceMatcher.html#ac2b21c735d12ccf05460992aa415a0dc',1,'sc_ast_matchers::InstanceMatcher']]], + ['instance_5fmatcher_5f_37',['instance_matcher_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a177826a10a2e53d440b2fa0f6e47233e',1,'sc_ast_matchers::ModuleDeclarationMatcher::instance_matcher_'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ad4f23514978e9a5c52c87763c112b8f4',1,'sc_ast_matchers::NetlistMatcher::instance_matcher_']]], + ['instance_5fmodule_5fmap_5f_38',['instance_module_map_',['../classsystemc__clang_1_1Model.html#a23cffb90397641c7a1405a8145b94e3b',1,'systemc_clang::Model']]], + ['instance_5fname_39',['instance_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac2e3331654217483b96515b50fd7172d',1,'sc_ast_matchers::ModuleInstanceType']]], + ['instance_5fname_5f_40',['instance_name_',['../classsystemc__clang_1_1ModuleInstance.html#a0bebd9c3b1272b8cf32b04421953ba63',1,'systemc_clang::ModuleInstance']]], + ['instance_5fnames_41',['instance_names',['../structsc__ast__matchers_1_1ModuleInstanceType.html#aca4d97a4786fa633d27ed90ecca494a0',1,'sc_ast_matchers::ModuleInstanceType']]], + ['instance_5ftype_5f_42',['instance_type_',['../classsystemc__clang_1_1PortBinding.html#a2d1ca9566823728e7bef38aad186dcde',1,'systemc_clang::PortBinding']]], + ['instance_5ftype_5fdecl_5f_43',['instance_type_decl_',['../classsystemc__clang_1_1PortBinding.html#a840edc4d7d15b2584ff27d923cc67a4e',1,'systemc_clang::PortBinding']]], + ['instance_5fvar_5fname_5f_44',['instance_var_name_',['../classsystemc__clang_1_1PortBinding.html#afda27f653f063b97e5434d85a4e80a7f',1,'systemc_clang::PortBinding']]], + ['instanceargumentmatcher_45',['InstanceArgumentMatcher',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html',1,'sc_ast_matchers']]], + ['instancedeclarations_46',['InstanceDeclarations',['../classsc__ast__matchers_1_1InstanceMatcher.html#a04699b21c9bc0750a1d44def1f46e526',1,'sc_ast_matchers::InstanceMatcher']]], + ['instancedeclarationstype_47',['InstanceDeclarationsType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a653b8ea3a6ebe99de3bd4decb19296a0',1,'sc_ast_matchers::InstanceMatcher']]], + ['instancedecltype_48',['InstanceDeclType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a5767878fc0e755447055c4c73f6a5d76',1,'sc_ast_matchers::InstanceMatcher']]], + ['instancefunctionsautomaptype_49',['instanceFunctionSautoMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abdcceec777abc31a837cfa268b835a6e',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['instancefunctionsautopairtype_50',['instanceFunctionSautoPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a121eab49fbfec146c4167b260ad5a8fa',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['instancelistmodulemaptype_51',['instanceListModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#abfba341ac10ea10946f3b288a6af60d9',1,'systemc_clang::FindNetlist']]], + ['instancelistmodulepairtype_52',['instanceListModulePairType',['../classsystemc__clang_1_1FindNetlist.html#a2ecdf6884ec1fb09ebfad16c1df7d32d',1,'systemc_clang::FindNetlist']]], + ['instancelisttype_53',['InstanceListType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#afdaddc0eb1f32fee2a5e50a215dd0a15',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['instancematcher_54',['InstanceMatcher',['../classsc__ast__matchers_1_1InstanceMatcher.html',1,'sc_ast_matchers']]], + ['instancematcher_2eh_55',['InstanceMatcher.h',['../InstanceMatcher_8h.html',1,'']]], + ['instancemodulemaptype_56',['instanceModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#ae78a5ebf072b44afca7c8ec6e32bacf0',1,'systemc_clang::FindNetlist']]], + ['instancemodulepairtype_57',['instanceModulePairType',['../classsystemc__clang_1_1FindNetlist.html#a5ad7c164c9a34daeab425858e5f1df78',1,'systemc_clang::FindNetlist']]], + ['instancename_58',['instanceName',['../classsystemc__clang_1_1ModuleInstance.html#ad0883e1cd0f90f5c1979e1689f1bfec1',1,'systemc_clang::ModuleInstance']]], + ['instanceportsignalmaptype_59',['instancePortSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#a794c738b78e4b35512ab5207e01e15a9',1,'systemc_clang::FindNetlist']]], + ['instanceportsignalpairtype_60',['instancePortSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a869c0d354a6d15d21e26a5bdb5762a53',1,'systemc_clang::FindNetlist']]], + ['instancesautomaptype_61',['instanceSautoMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a3bb8c2e365279b57547e6f711ba05589',1,'systemc_clang::EntryFunctionContainer']]], + ['instancesautopairtype_62',['instanceSautoPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aef3e9b18fafe561ac3f335f0647e17cb',1,'systemc_clang::EntryFunctionContainer']]], + ['instancesuscfgmaptype_63',['instanceSusCFGMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#af7a42320d41c6c8f9db2fbb67faf8ff2',1,'systemc_clang::EntryFunctionContainer']]], + ['instancesuscfgpairtype_64',['instanceSusCFGPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a57713c7c1e7873222abfbd7d1c55f60f',1,'systemc_clang::EntryFunctionContainer']]], + ['instantiate_65',['instantiate',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ae8fd48a5ba16beded4b314b6f9e23fa0',1,'parselib::transforms::type_node::TypeNode']]], + ['instream_5fports_5f_66',['instream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a9ed1d0cc8e4537b8862a0422640e9550',1,'sc_ast_matchers::PortMatcher']]], + ['interface_67',['Interface',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html',1,'parselib::transforms::interface_generation']]], + ['interface_68',['interface',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae2ee158cbae6563f6cfed15689a5dff8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['interface_5farg_69',['interface_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#ac31d447444a1318ed707955117e96977',1,'parselib::transforms::verilog_tranlation']]], + ['interface_5fgeneration_2epy_70',['interface_generation.py',['../interface__generation_8py.html',1,'']]], + ['interface_5finst_5farg_71',['interface_inst_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#a328e7ff4a07c4099f77b095df5ecbc72',1,'parselib::transforms::verilog_tranlation']]], + ['interface_5fmeta_5fdata_72',['interface_meta_data',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a013b135f8369fbb73c399c47eba9366a',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['interfacedecl_73',['InterfaceDecl',['../classsystemc__clang_1_1InterfaceDecl.html',1,'systemc_clang::InterfaceDecl'],['../classsystemc__clang_1_1InterfaceDecl.html#a9332ca5080c3b334f930357b101079f6',1,'systemc_clang::InterfaceDecl::InterfaceDecl()'],['../classsystemc__clang_1_1InterfaceDecl.html#a8e0fb332423dd5aa0cd56e17e1418947',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1InterfaceDecl.html#a65a3b339c0a87a5656f463f95c4f57d7',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const InterfaceDecl &)']]], + ['interfacedecl_2ecpp_74',['InterfaceDecl.cpp',['../InterfaceDecl_8cpp.html',1,'']]], + ['interfacedecl_2eh_75',['InterfaceDecl.h',['../InterfaceDecl_8h.html',1,'']]], + ['interfacegeneration_76',['InterfaceGeneration',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html',1,'parselib::transforms::interface_generation']]], + ['interfacemaptype_77',['interfaceMapType',['../classsystemc__clang_1_1ModuleInstance.html#a68dce634743851dc3b8cacb4ab6ca055',1,'systemc_clang::ModuleInstance']]], + ['interfacepairtype_78',['interfacePairType',['../classsystemc__clang_1_1ModuleInstance.html#a086e947f3bc9aba652551f50cb152e83',1,'systemc_clang::ModuleInstance']]], + ['interfacereplacement_79',['InterfaceReplacement',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html',1,'parselib::transforms::interface_generation']]], + ['interfaces_80',['interfaces',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html#a2599ebc87752bef4468824f706d5758b',1,'parselib.transforms.interface_generation.Interface.interfaces'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a61d9ec55855fe6ae4d4c4d43f903a72f',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.interfaces()']]], + ['interfacetype_81',['interfaceType',['../classsystemc__clang_1_1FindTLMInterfaces.html#af83bb76e41e9a916768e8ccfe81afa8c',1,'systemc_clang::FindTLMInterfaces']]], + ['invalidcommandlineformat_82',['InvalidCommandlineFormat',['../classsystemc-clang_1_1InvalidCommandlineFormat.html',1,'systemc-clang']]], + ['invoke_5fsysc_83',['invoke_sysc',['../namespacesystemc-clang.html#a0f537a9b4ced662bd2ee93c80450675b',1,'systemc-clang']]], + ['invoke_5ftranslation_84',['invoke_translation',['../namespacesystemc-clang.html#adea6320ae04e827452424c126c09d9e8',1,'systemc-clang']]], + ['iointerfaces_5f_85',['iointerfaces_',['../classsystemc__clang_1_1ModuleInstance.html#a8c6e8f2294684f47209fbd93da6f3000',1,'systemc_clang::ModuleInstance']]], + ['is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_86',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['is_5farray_87',['is_array',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad9056089538277c3b0fb3b04ed7a00c9',1,'sc_ast_matchers::ModuleInstanceType']]], + ['is_5farray_5f_88',['is_array_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afdb810b7b04e23673a3c2e64b4cb3001',1,'sc_ast_matchers::ModuleInstanceType::is_array_'],['../classsystemc__clang_1_1PortDecl.html#aaa40e3567d2a19a6f7310c455e4fc54d',1,'systemc_clang::PortDecl::is_array_']]], + ['is_5fconditional_5f_89',['is_conditional_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab5213c2a56b3dfda38fab7c6ba7fc770',1,'systemc_clang::SplitCFGBlock']]], + ['is_5fcustom_5ftype_90',['is_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ad70552aadbccb0ea098a2a24c22183ec',1,'parselib::transforms::type_collector::TypeCollector']]], + ['is_5fentry_5ffunction_5f_91',['is_entry_function_',['../classsystemc__clang_1_1FindEntryFunctions.html#ab67becfe5748fc2b42e23a2a739bc77a',1,'systemc_clang::FindEntryFunctions']]], + ['is_5ffield_5fdecl_92',['is_field_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ace8d813116395be7de22d9d492283a69',1,'sc_ast_matchers::ModuleInstanceType']]], + ['is_5ffor_5fstmt_93',['is_for_stmt',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#ab5a319f942c81cd8330a65e57a401559',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['is_5ffound_94',['is_found',['../SuspensionAutomata_8cpp.html#aa6afbc1aa166921abdbfc5026b82e6bd',1,'SuspensionAutomata.cpp']]], + ['is_5fin_5fgen_5fblock_95',['is_in_gen_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a80e96f61a39e2a93cb526da5c3baf0d6',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_gen_block'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9b1ed7217a481a7e6ed3dcbe7322629f',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_gen_block']]], + ['is_5fin_5finitblock_96',['is_in_initblock',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ae9c2c6a7b7bab30766560bf5eac80738',1,'parselib.transforms.port_expansion.PortExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ae9c2c6a7b7bab30766560bf5eac80738',1,'parselib.transforms.port_expansion.PortExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ab933d6517d63603d815125870b761374',1,'parselib.transforms.port_expansion.PortExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a8f3cdb2c4568537bd4fe280fee2d7f33',1,'parselib.transforms.sensevar_movement.SensevarMovement.is_in_initblock'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a08bb45e5d3413d4c702dd3248ded6d09',1,'parselib.transforms.typedef_expansion.TypedefExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ae70357124d017e79b478474c8efd698a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.is_in_initblock']]], + ['is_5fin_5fthread_97',['is_in_thread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2f9936c75707ca7ad68b2052c35ae427',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['is_5floop_5fwith_5ftwo_5fsucc_5f_98',['is_loop_with_two_succ_',['../classsystemc__clang_1_1SplitCFGBlock.html#a786da84150c6656defb973fe5703ee44',1,'systemc_clang::SplitCFGBlock']]], + ['is_5fmodule_5ftype_99',['is_module_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a894a547647d045df2ae6d3cab7740a67',1,'parselib::transforms::type_collector::TypeCollector']]], + ['is_5fnumeric_100',['is_numeric',['../namespacesystemc__hdl.html#aa4f5fdd5dce280588fa1f4c2bd033077',1,'systemc_hdl']]], + ['is_5fport_5fbinding_101',['is_port_binding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aaa56ccd972cb8bab09d049711c5a6d92',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['is_5freferenced_102',['is_referenced',['../classhnode_1_1newname__map__t.html#a4a857c2bd4d4d0e67df4688b20bfe22f',1,'hnode::newname_map_t']]], + ['is_5fsigvar_103',['is_sigvar',['../namespacehnode.html#afc48114ade9b9a254d613931e9700412',1,'hnode']]], + ['is_5ftree_5ftype_104',['is_tree_type',['../namespaceparselib_1_1utils.html#ada22bd336ce6e981444404195dbf52ff',1,'parselib::utils']]], + ['is_5ftree_5ftypes_105',['is_tree_types',['../namespaceparselib_1_1utils.html#a26ef0ce9ae9344354c4b736104aac473',1,'parselib::utils']]], + ['isarrayref_106',['isArrayRef',['../classsystemc__hdl_1_1HDLBody.html#acb24ea9063364dd72273afc1dad17a00',1,'systemc_hdl::HDLBody']]], + ['isarraytype_107',['isArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a4371dc69e15c57afbf4488d7897b8c83',1,'sc_ast_matchers::ModuleInstanceType']]], + ['isassignop_108',['isAssignOp',['../classsystemc__hdl_1_1HDLBody.html#a87368c8c56e554352e112391d4fe2b3f',1,'systemc_hdl::HDLBody']]], + ['isbreak_109',['isBreak',['../classsystemc__hdl_1_1HDLThread.html#a972b680ca8d671386ccd59f925849c27',1,'systemc_hdl::HDLThread']]], + ['isconditional_110',['isConditional',['../classsystemc__clang_1_1SplitCFG.html#a19c6657911e753a0ae1c1f19ff1fd843',1,'systemc_clang::SplitCFG::isConditional()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a8e466c8d66d3d6827fd6dcb0f39af2fd',1,'systemc_clang::SplitCFGBlock::isConditional()']]], + ['iscontinueorbreak_111',['isContinueorBreak',['../classsystemc__hdl_1_1HDLThread.html#a461585150e7f52f04e046f7b8221864c',1,'systemc_hdl::HDLThread']]], + ['iscxxmembercallexprsystemccall_112',['isCXXMemberCallExprSystemCCall',['../namespacesc__ast__matchers_1_1utils.html#a5c3e714775fb729e6229051ae1bc7565',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CallExpr *ce, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a762d4ffc37e02e7ca22ef105d5d19b7e',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::Type *type, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a962ecbfc9eb1a52e6a65b4fafbc1bafe',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CXXMemberCallExpr *mce)']]], + ['isdelta_113',['isDelta',['../classsystemc__clang_1_1State.html#a0ab7a0ef45c4b14ee93c4164643d7316',1,'systemc_clang::State']]], + ['isdeltawait_114',['isDeltaWait',['../classsystemc__clang_1_1SuspensionAutomata.html#af7df2014268b399d1c12383b4aeffd49',1,'systemc_clang::SuspensionAutomata']]], + ['isdiscovered_115',['isDiscovered',['../classsystemc__clang_1_1TreeNode.html#a0155728cd4c230ece1da3f7c484eb0d9',1,'systemc_clang::TreeNode']]], + ['iselementpresent_116',['isElementPresent',['../classsystemc__clang_1_1Utility.html#a807a4944329acdc8c6b8b4bbf36a59a4',1,'systemc_clang::Utility']]], + ['iselementwait_117',['isElementWait',['../classsystemc__clang_1_1SplitCFG.html#a4051803801ddb7825d517134c947ae3a',1,'systemc_clang::SplitCFG']]], + ['isevent_118',['isEvent',['../classsystemc__clang_1_1State.html#a2ea429b1fcd285943367cfe8a3f65c1d',1,'systemc_clang::State']]], + ['iseventwait_119',['isEventWait',['../classsystemc__clang_1_1SuspensionAutomata.html#abd8c75ab0e59dd6d7a02b96ce46e2845',1,'systemc_clang::SuspensionAutomata']]], + ['isfalsepathvalid_120',['isFalsePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4f1e630675ec488f5b4319a6106dbcc9',1,'systemc_clang::SplitCFGPathInfo']]], + ['isfound_121',['isFound',['../classsystemc__clang_1_1SuspensionAutomata.html#a9d159ea7b6661f0b73a8eb0d6feaa243',1,'systemc_clang::SuspensionAutomata::isFound(vector< SusCFG * >, SusCFG *)'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6449700bf45d287c4f9d3089a88a5c12',1,'systemc_clang::SuspensionAutomata::isFound(vector< Transition * >, Transition *)']]], + ['isgpufit_122',['isGPUFit',['../classsystemc__clang_1_1GPUMacro.html#a55930ac68902786908bf08cdcc4ccd13',1,'systemc_clang::GPUMacro::isGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#a37f2f99651a57efa46931a57bf813c2a',1,'systemc_clang::SusCFG::isGPUFit()']]], + ['isinitial_123',['isInitial',['../classsystemc__clang_1_1State.html#a643dfd2a6ebe7f21bc5697433c6d11d0',1,'systemc_clang::State']]], + ['isinnamespace_124',['isInNamespace',['../namespacesc__ast__matchers_1_1utils.html#abfc3024aff017fe55298314f2d4876ca',1,'sc_ast_matchers::utils::isInNamespace(const clang::ValueDecl *fd, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#af06574adfc294d7923625ee80056766d',1,'sc_ast_matchers::utils::isInNamespace(const clang::Type *tp, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a29be9e6c19c22227c0932fa82eea99ee',1,'sc_ast_matchers::utils::isInNamespace(const Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#abc2e19f4549ca64e136a29e36d76f24f',1,'sc_ast_matchers::utils::isInNamespace(const CallExpr *cexpr, llvm::StringRef name)'],['../namespacesc__ast__matchers_1_1utils.html#ae893ed3abbf227192e11c19697d6c56a',1,'sc_ast_matchers::utils::isInNamespace(const clang::Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a6f3712406d0a4d393a478847371ebd4c',1,'sc_ast_matchers::utils::isInNamespace(const clang::CallExpr *cexpr, llvm::StringRef name)']]], + ['isinstancefielddecl_125',['isInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a818b944b81001e7f539752c129fcce00',1,'systemc_clang::ModuleInstance']]], + ['islogicalop_126',['isLogicalOp',['../classsystemc__hdl_1_1HDLBody.html#a213d15671a95dcc8cb46eb663dc6a159',1,'systemc_hdl::HDLBody']]], + ['isloop_127',['isLoop',['../classsystemc__clang_1_1SplitCFG.html#a7540b2cc0f97bf5d546aea9fcbfa6d8e',1,'systemc_clang::SplitCFG']]], + ['isloopwithtwosuccessors_128',['isLoopWithTwoSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a9a95af2adbcdd9d375ea90a4131e10e2',1,'systemc_clang::SplitCFG::isLoopWithTwoSuccessors()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a73c9af1090827946f0f93d28f5ce1df4',1,'systemc_clang::SplitCFGBlock::isLoopWithTwoSuccessors()']]], + ['ismoduleclassdeclnull_129',['isModuleClassDeclNull',['../classsystemc__clang_1_1ModuleInstance.html#a11105db597dade49f96e63917d8cc7ca',1,'systemc_clang::ModuleInstance']]], + ['isnotifycall_130',['isNotifyCall',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abfd7ca3cb24ae7dcaa50b204c5a61066',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['isparentblock_131',['isParentBlock',['../classsystemc__clang_1_1SusCFG.html#aa3949a664ee91427a318509c0bd718ec',1,'systemc_clang::SusCFG']]], + ['isparentwaitblock_132',['isParentWaitBlock',['../classsystemc__clang_1_1SusCFG.html#afad9f5ac04f02ecd8ea1415e9b3a2918',1,'systemc_clang::SusCFG']]], + ['ispointertype_133',['isPointerType',['../classsystemc__clang_1_1PortDecl.html#afc190117dc6f05449283492299a9f489',1,'systemc_clang::PortDecl']]], + ['isposint_134',['isposint',['../classhnode_1_1util.html#aec9118a21d646bbc50205199825839fd',1,'hnode::util']]], + ['isresetasync_135',['isResetAsync',['../classsystemc__clang_1_1EntryFunctionContainer.html#a3cd1f83eb9d3e05dadf5d228e284f90f',1,'systemc_clang::EntryFunctionContainer']]], + ['isscbuiltintype_136',['isSCBuiltinType',['../classhnode_1_1util.html#aafceba1797eb2565e6cbdd6979e89adc',1,'hnode::util']]], + ['isscfunc_137',['isSCFunc',['../classhnode_1_1util.html#a3b5201193bd1bc94d041c1aacb9646e6',1,'hnode::util']]], + ['isscmacro_138',['isSCMacro',['../classhnode_1_1util.html#a758eac997df82bd7cd138b0559f7fbc3',1,'hnode::util']]], + ['isscmainfound_139',['isSCMainFound',['../classsystemc__clang_1_1FindSCMain.html#a1861400b10d1338b0c4cfaebe2881cf6',1,'systemc_clang::FindSCMain']]], + ['issctype_140',['isSCType',['../classhnode_1_1util.html#ad27505aecf9a91dfe8e4f4a0a53b68a7',1,'hnode::util']]], + ['issimrelated_141',['isSimRelated',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a89a3389115c1ea5c73f5a49a51208ff4',1,'systemc_hdl::HDLConstructorHcode']]], + ['isternaryoperator_142',['isTernaryOperator',['../classsystemc__clang_1_1SplitCFG.html#ac66d7a5b2ad7968079797a965124bf91',1,'systemc_clang::SplitCFG']]], + ['istimed_143',['isTimed',['../classsystemc__clang_1_1State.html#adcde585e5b1df5f64df6f0a194c901f7',1,'systemc_clang::State']]], + ['istimedwait_144',['isTimedWait',['../classsystemc__clang_1_1SuspensionAutomata.html#a131b474cba796a5d5e13fb7574c236da',1,'systemc_clang::SuspensionAutomata']]], + ['istreamports_5f_145',['istreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a8c5265bd212698e526e5a8194d75d7d0',1,'systemc_clang::ModuleInstance']]], + ['istruepath_146',['isTruePath',['../classsystemc__clang_1_1SplitCFG.html#a63606435093652063e3d8da0bacb6f0f',1,'systemc_clang::SplitCFG']]], + ['istruepathvalid_147',['isTruePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#abe2a39f9c28ff9e675eaf6e4a45281a2',1,'systemc_clang::SplitCFGPathInfo']]], + ['istypename_148',['isTypename',['../classhnode_1_1util.html#a9bba15dca969ac22cc4e1779e4ea7620',1,'hnode::util']]], + ['isuserclass_149',['isUserClass',['../classsystemc__hdl_1_1HDLBody.html#a6652deb6c88c01f811bf571d3904e703',1,'systemc_hdl::HDLBody']]], + ['isvalidmethod_150',['isValidMethod',['../classsystemc__hdl_1_1HDLMain.html#ad26d40f0cbf7d74468a39b2788a9b382',1,'systemc_hdl::HDLMain']]], + ['iswaitblock_151',['isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a196e62967d4a2820873c7833b037d836',1,'systemc_clang::SusCFG']]], + ['iswaitcall_152',['isWaitCall',['../classsystemc__clang_1_1SuspensionAutomata.html#af045e731c974b5b321f0c808d2fa5773',1,'systemc_clang::SuspensionAutomata']]], + ['iswaitstmt_153',['IsWaitStmt',['../classsystemc__hdl_1_1HDLThread.html#ad28af83a8bd64cbd5e79bf1db4f417e2',1,'systemc_hdl::HDLThread']]], + ['iterator_5fcategory_154',['iterator_category',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a2daf05f5672e47c000b8bb0468f2625c',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], + ['itf_5fmeta_155',['itf_meta',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adfaec9fe96efdbdb748862e40468940f',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]] ]; diff --git a/search/all_a.js b/search/all_a.js index 2043ac87..1fc8c5ab 100644 --- a/search/all_a.js +++ b/search/all_a.js @@ -1,4 +1,4 @@ var searchData= [ - ['kvtype_0',['kvType',['../classsystemc__clang_1_1FindEvents.html#a81699cbf968ba7293c8c857787e6cf75',1,'systemc_clang::FindEvents::kvType'],['../classsystemc__clang_1_1FindGlobalEvents.html#af6160b19fad3fd8ea582755a2d98fe7b',1,'systemc_clang::FindGlobalEvents::kvType'],['../classsystemc__clang_1_1FindTLMInterfaces.html#af3ae5d086da23f9985633a6ea89cfc22',1,'systemc_clang::FindTLMInterfaces::kvType']]] + ['kvtype_0',['kvType',['../classsystemc__clang_1_1FindEvents.html#a7ebc0b39a87fed42ea436bd5a9858d66',1,'systemc_clang::FindEvents::kvType'],['../classsystemc__clang_1_1FindGlobalEvents.html#ae6c40b45685ce1b508ee58bbab2bae50',1,'systemc_clang::FindGlobalEvents::kvType'],['../classsystemc__clang_1_1FindTLMInterfaces.html#a6ba7a150371ece4c57ad87ca11d73df9',1,'systemc_clang::FindTLMInterfaces::kvType']]] ]; diff --git a/search/all_b.js b/search/all_b.js index 388c529c..063f4bc0 100644 --- a/search/all_b.js +++ b/search/all_b.js @@ -6,10 +6,12 @@ var searchData= ['lifted_3',['lifted',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af4fd67f6d6a81f293d5bfc3803655b20',1,'parselib::transforms::comma_transformation::CommaTransformation']]], ['literal_5fexpansion_2epy_4',['literal_expansion.py',['../literal__expansion_8py.html',1,'']]], ['literalexpansion_5',['LiteralExpansion',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html',1,'parselib::transforms::literal_expansion']]], - ['llvm_5finc_5fdir_6',['llvm_inc_dir',['../classsystemc-clang_1_1SystemCClang.html#ac2b2c1f6ff85c8507c56318334806b1d',1,'systemc-clang::SystemCClang']]], - ['llvm_5finstall_5fpath_7',['llvm_install_path',['../classsystemc-clang_1_1SystemCClang.html#aa00fddbf19a398cf0d034b0028e76fa4',1,'systemc-clang.SystemCClang.llvm_install_path'],['../classsystemc-clang_1_1SystemCClang.html#a5e676f9d0687edef040b56ffdd214a78',1,'systemc-clang.SystemCClang.llvm_install_path(self)']]], - ['lo_8',['lo',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#afc98f5ad00b50486c08d5a19d57a744e',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['local_5fvariables_9',['local_variables',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a0e6c5ded3d6e57ee4c52fb73608a2531',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['long_10',['long',['../classparselib_1_1primitives_1_1long.html',1,'parselib::primitives']]], - ['lutil_11',['lutil',['../classsystemc__hdl_1_1HDLBody.html#a31853db61435860151cb1d805d47251a',1,'systemc_hdl::HDLBody::lutil'],['../classsystemc__hdl_1_1HDLThread.html#aab8ce1199c68fc50147fcfd747f64c69',1,'systemc_hdl::HDLThread::lutil']]] + ['literalexpansion2_6',['LiteralExpansion2',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html',1,'parselib::transforms::literal_expansion']]], + ['llvm_5finc_5fdir_7',['llvm_inc_dir',['../classsystemc-clang_1_1SystemCClang.html#ac2b2c1f6ff85c8507c56318334806b1d',1,'systemc-clang::SystemCClang']]], + ['llvm_5finstall_5fpath_8',['llvm_install_path',['../classsystemc-clang_1_1SystemCClang.html#aa00fddbf19a398cf0d034b0028e76fa4',1,'systemc-clang.SystemCClang.llvm_install_path'],['../classsystemc-clang_1_1SystemCClang.html#a5e676f9d0687edef040b56ffdd214a78',1,'systemc-clang.SystemCClang.llvm_install_path(self)']]], + ['lo_9',['lo',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#afc98f5ad00b50486c08d5a19d57a744e',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], + ['local_5fvariables_10',['local_variables',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a0e6c5ded3d6e57ee4c52fb73608a2531',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['long_11',['long',['../classparselib_1_1primitives_1_1long.html',1,'parselib::primitives']]], + ['lowercomplexport_12',['LowerComplexPort',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html',1,'parselib::transforms::portbinding_recollect']]], + ['lutil_13',['lutil',['../classsystemc__hdl_1_1HDLBody.html#a31853db61435860151cb1d805d47251a',1,'systemc_hdl::HDLBody::lutil'],['../classsystemc__hdl_1_1HDLThread.html#aab8ce1199c68fc50147fcfd747f64c69',1,'systemc_hdl::HDLThread::lutil']]] ]; diff --git a/search/all_c.js b/search/all_c.js index 7d372579..96cb02ad 100644 --- a/search/all_c.js +++ b/search/all_c.js @@ -12,57 +12,61 @@ var searchData= ['makeresetmap_9',['MakeResetMap',['../classsystemc__hdl_1_1HDLMain.html#a38196ba7ed1fdece2021534e1940246c',1,'systemc_hdl::HDLMain']]], ['makesignalarraytype_10',['makeSignalArrayType',['../classsc__ast__matchers_1_1PortMatcher.html#aa23d0e0720b102dd9f5b99cc2af9da4f',1,'sc_ast_matchers::PortMatcher']]], ['makesignalmatcher_11',['makeSignalMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#ac45ad8918c6b3ace857842a6e41eb7d6',1,'sc_ast_matchers::PortMatcher']]], - ['markstatements_12',['MarkStatements',['../classsystemc__hdl_1_1HDLThread.html#abb5b1bbcf8b9684deca4090788239af9',1,'systemc_hdl::HDLThread']]], - ['match_5fctor_5farg_13',['match_ctor_arg',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae3317d935480e9288da5234459d0987b',1,'sc_ast_matchers::InstanceMatcher']]], - ['match_5fis_5fderived_5fsc_5fmodule_14',['match_is_derived_sc_module',['../classsc__ast__matchers_1_1InstanceMatcher.html#aea3cff2c0cda2af1452ead21e00f2fef',1,'sc_ast_matchers::InstanceMatcher']]], - ['matchers_2eh_15',['Matchers.h',['../Matchers_8h.html',1,'']]], - ['matchinstancesinbaseclasses_16',['matchInstancesInBaseClasses',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a81c1cef440ac0466db48be48bc26dc85',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['matchnames_17',['matchNames',['../namespacesc__ast__matchers_1_1utils.html#a784b9a6f9086c9751c8a41e0763ae19e',1,'sc_ast_matchers::utils::matchNames(StringRef str, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a38f9d2a436ff4247639b118e2aa2f4e7',1,'sc_ast_matchers::utils::matchNames(llvm::StringRef str, const std::vector< llvm::StringRef > &names)']]], - ['max_18',['max',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab21b606214fb62130eb276f10a98740b',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['maxtime_19',['maxTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a28a9fa1f175321b3c0d1701109d7734e',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['me_5fwo_5fmcall_5f_20',['me_wo_mcall_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#ab2e7f54f3b9afa16e05b22b342844449',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['memberdecltype_21',['MemberDeclType',['../classsc__ast__matchers_1_1PortMatcher.html#ae8f416e37b31a66f4fa78cda64cf1f02',1,'sc_ast_matchers::PortMatcher']]], - ['memberexpr_22',['MEMBEREXPR',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0ab3c11db97b6fde04c65d8c7339452301',1,'systemc_clang']]], - ['merge_5ffield_5fdefault_5fthis_23',['merge_field_default_this',['../classparselib_1_1primitives_1_1TypeContext.html#af3ca505bf7ca1731fb9e33d2bbbb6f24',1,'parselib::primitives::TypeContext']]], - ['merge_5fsort_24',['merge_sort',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a541f7970f925e473ded30afc41d0ad0a',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['method_25',['METHOD',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138a725e74fd6435d86483781e2bd8e9d2c7',1,'systemc_clang']]], - ['method_5fobject_5fmap_5ft_26',['method_object_map_t',['../namespacehnode.html#ab8cbafd372ebac64fcc36a9aad1fe45f',1,'hnode']]], - ['methodecls_27',['methodecls',['../classsystemc__hdl_1_1HDLBody.html#a39fbae864e81286dee2f7b7aea0ecfba',1,'systemc_hdl::HDLBody::methodecls'],['../classsystemc__hdl_1_1HDLThread.html#a8d5c2c9a5ddaa257cc5ea369f771ab2f',1,'systemc_hdl::HDLThread::methodecls']]], - ['methodobjtypemap_28',['methodobjtypemap',['../classhnode_1_1hfunc__name__map__t.html#ac6f0dda63df3b065f683cdb4f346a89a',1,'hnode::hfunc_name_map_t']]], - ['missingsystemcclang_29',['MissingSystemCClang',['../classsystemc-clang_1_1MissingSystemCClang.html',1,'systemc-clang']]], - ['mod_5fname_5fmap_30',['mod_name_map',['../classsystemc__hdl_1_1HDLMain.html#a27f2cf9e193b03b3ae1c41224fe8f998',1,'systemc_hdl::HDLMain']]], - ['mod_5fvname_5fmap_5f_31',['mod_vname_map_',['../classsystemc__hdl_1_1HDLBody.html#a7ea276331f98b0cfc6cc28150fd81c0d',1,'systemc_hdl::HDLBody::mod_vname_map_'],['../classsystemc__hdl_1_1HDLThread.html#a63e553f8cd4f4e5cc8ab62fd9c0d30e6',1,'systemc_hdl::HDLThread::mod_vname_map_']]], - ['moddecl_32',['moddecl',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a5146732d902a05ba12318ea78772bcda',1,'parselib::transforms::structure_collector::StructureCollector']]], - ['model_33',['Model',['../classsystemc__clang_1_1Model.html',1,'systemc_clang::Model'],['../classsystemc__clang_1_1Model.html#ae3b375de5f6df4faf74a95d64748e048',1,'systemc_clang::Model::Model()'],['../classsystemc__clang_1_1Model.html#afe06c7b7be7051909c4c1d86707fc7df',1,'systemc_clang::Model::Model(const Model &)']]], - ['model_2ecpp_34',['Model.cpp',['../Model_8cpp.html',1,'']]], - ['model_2eh_35',['Model.h',['../Model_8h.html',1,'']]], - ['model_5f_36',['model_',['../classsc__ast__matchers_1_1NetlistMatcher.html#a831183355ba8d58eb9f5a67a0b248300',1,'sc_ast_matchers::NetlistMatcher']]], - ['modifdfs_37',['modifDFS',['../classsystemc__clang_1_1SuspensionAutomata.html#a63d5c4afef3eb581891938f4348765ed',1,'systemc_clang::SuspensionAutomata']]], - ['modportsiglist_38',['modportsiglist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a8cbcec39de17e539f13cda6d131e3372',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.modportsiglist()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aab823a7576d27b97dd423c9b05697829',1,'parselib.transforms.port_expansion.PortExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a0ab98804ca61b2ade1c0d220ce023b0a',1,'parselib.transforms.sort_var_decl.SortVarDecl.modportsiglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab801168295e0b0ff0515967c86cad06a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abc1a32ee87a5be214fd8a6d9df03b156',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modportsiglist()']]], - ['module_5finstance_5flist_5f_39',['module_instance_list_',['../classsystemc__clang_1_1Model.html#ae3f1415377bb43a8cda4b0cbef328605',1,'systemc_clang::Model']]], - ['module_5finstances_5f_40',['module_instances_',['../classsystemc__clang_1_1Model.html#af69296c842ac8745cc924453f70a98ad',1,'systemc_clang::Model']]], - ['module_5fmatcher_5f_41',['module_matcher_',['../classsc__ast__matchers_1_1NetlistMatcher.html#aa77afa2735c6e5db7510630309fe32fe',1,'sc_ast_matchers::NetlistMatcher']]], - ['module_5fname_5f_42',['module_name_',['../classsystemc__clang_1_1ModuleInstance.html#a4ad8d0b6eaae49ffb0de670a8941890e',1,'systemc_clang::ModuleInstance']]], - ['module_5ftypes_43',['module_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a2befed09dbd2b87fcacb4180d3ce8050',1,'parselib::transforms::type_collector::TypeCollector']]], - ['module_5fvar_5ftype_44',['module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a850cf1bffa018941c8fb933d5442ffbf',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['module_5fvars_45',['module_vars',['../classsystemc__hdl_1_1HDLMain.html#acbcdad1f238915a8940bf506877d582b',1,'systemc_hdl::HDLMain']]], - ['moduledeclarationmatcher_46',['ModuleDeclarationMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html',1,'sc_ast_matchers']]], - ['moduleinitializertupletype_47',['ModuleInitializerTupleType',['../namespacesc__ast__matchers_1_1utils.html#a9eca54af25a4033101d4a4201501ff25',1,'sc_ast_matchers::utils']]], - ['moduleinst_48',['moduleinst',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4e400f255443a0f03b30431d115e3679',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['moduleinstance_49',['ModuleInstance',['../classsystemc__clang_1_1ModuleInstance.html',1,'systemc_clang::ModuleInstance'],['../classsystemc__clang_1_1ModuleInstance.html#ad20799642139128415f4cd86083199bf',1,'systemc_clang::ModuleInstance::ModuleInstance()'],['../classsystemc__clang_1_1ModuleInstance.html#a068adbfdf8a895bae6dbd7b5c22974bd',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::string &, const clang::CXXRecordDecl *)'],['../classsystemc__clang_1_1ModuleInstance.html#a0d1ab890a473eb752e0787a0478f6eb6',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::tuple< const std::string &, clang::CXXRecordDecl * > &)'],['../classsystemc__clang_1_1ModuleInstance.html#a7b553895e8400696d3a385da7852ec59',1,'systemc_clang::ModuleInstance::ModuleInstance(const ModuleInstance &from)']]], - ['moduleinstance_2ecpp_50',['ModuleInstance.cpp',['../ModuleInstance_8cpp.html',1,'']]], - ['moduleinstance_2eh_51',['ModuleInstance.h',['../ModuleInstance_8h.html',1,'']]], - ['moduleinstancemaptype_52',['moduleInstanceMapType',['../classsystemc__clang_1_1Model.html#adb14c5fc604752f5a1b5a26b58b3590b',1,'systemc_clang::Model']]], - ['moduleinstancepairtype_53',['moduleInstancePairType',['../classsystemc__clang_1_1Model.html#a5f9fe0bad369fa57dcce9957065e85fd',1,'systemc_clang::Model']]], - ['moduleinstancetuple_54',['ModuleInstanceTuple',['../classsc__ast__matchers_1_1InstanceMatcher.html#a2da868d9005b524c65f6dc808f6a6e2b',1,'sc_ast_matchers::InstanceMatcher']]], - ['moduleinstancetype_55',['ModuleInstanceType',['../structsc__ast__matchers_1_1ModuleInstanceType.html',1,'sc_ast_matchers::ModuleInstanceType'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad62e9f4402009961f4ba3383bcfb88df',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac7d33859a1ca9e45797c1077c9246b4e',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType(const ModuleInstanceType &rhs)']]], - ['moduleinstancetype_2eh_56',['ModuleInstanceType.h',['../ModuleInstanceType_8h.html',1,'']]], - ['modulelist_57',['modulelist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adca5d474d2800746df0b448a530ff4c7',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['modulemaptype_58',['ModuleMapType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#ac9eb5a346eddccc3bf5e4def371c4a00',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['modulemaptype_59',['moduleMapType',['../classsystemc__clang_1_1Model.html#a11e8e55332ce1b1d432d0836010514b9',1,'systemc_clang::Model']]], - ['modulepairtype_60',['ModulePairType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#adee6b44640fd0cdc83a9ea270c8c2a77',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['modulepairtype_61',['modulePairType',['../classsystemc__clang_1_1Model.html#a2d1a106632c336f2eac7f02aeb64f436',1,'systemc_clang::Model']]], - ['moduleprocesspairtype_62',['moduleProcessPairType',['../classsystemc__clang_1_1ModuleInstance.html#ae42d7c7159e4d1dff2496fc46799d540',1,'systemc_clang::ModuleInstance']]], - ['modules_5f_63',['modules_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#acdc5aec85f8992d45e5d515e27686cd8',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['mutil_64',['mutil',['../classsystemc__hdl_1_1HDLMain.html#a438e4e1bbd3d3d4d29ccd70ea324528b',1,'systemc_hdl::HDLMain']]] + ['map_5fhvarref_5fids_12',['map_hvarref_ids',['../namespaceparselib_1_1utils.html#a4613f349a7776ad5107910919f5e791a',1,'parselib::utils']]], + ['markstatements_13',['MarkStatements',['../classsystemc__hdl_1_1HDLThread.html#abb5b1bbcf8b9684deca4090788239af9',1,'systemc_hdl::HDLThread']]], + ['match_5fctor_5farg_14',['match_ctor_arg',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae3317d935480e9288da5234459d0987b',1,'sc_ast_matchers::InstanceMatcher']]], + ['match_5fis_5fderived_5fsc_5fmodule_15',['match_is_derived_sc_module',['../classsc__ast__matchers_1_1InstanceMatcher.html#aea3cff2c0cda2af1452ead21e00f2fef',1,'sc_ast_matchers::InstanceMatcher']]], + ['matchers_2eh_16',['Matchers.h',['../Matchers_8h.html',1,'']]], + ['matchinstancesinbaseclasses_17',['matchInstancesInBaseClasses',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a81c1cef440ac0466db48be48bc26dc85',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['matchnames_18',['matchNames',['../namespacesc__ast__matchers_1_1utils.html#a784b9a6f9086c9751c8a41e0763ae19e',1,'sc_ast_matchers::utils::matchNames(StringRef str, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a38f9d2a436ff4247639b118e2aa2f4e7',1,'sc_ast_matchers::utils::matchNames(llvm::StringRef str, const std::vector< llvm::StringRef > &names)']]], + ['max_19',['max',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab21b606214fb62130eb276f10a98740b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['maxtime_20',['maxTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a28a9fa1f175321b3c0d1701109d7734e',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['me_5fwo_5fmcall_5f_21',['me_wo_mcall_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#ab2e7f54f3b9afa16e05b22b342844449',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['memberdecltype_22',['MemberDeclType',['../classsc__ast__matchers_1_1PortMatcher.html#aca313488f44ae275f923d9252ccb3a36',1,'sc_ast_matchers::PortMatcher']]], + ['memberexpr_23',['MEMBEREXPR',['../namespacesystemc__clang.html#a0891e13e2390eb5b553cdb2444cc6ed0ab3c11db97b6fde04c65d8c7339452301',1,'systemc_clang']]], + ['merge_5ffield_5fdefault_5fthis_24',['merge_field_default_this',['../classparselib_1_1primitives_1_1TypeContext.html#af3ca505bf7ca1731fb9e33d2bbbb6f24',1,'parselib::primitives::TypeContext']]], + ['merge_5fsort_25',['merge_sort',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a541f7970f925e473ded30afc41d0ad0a',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['method_26',['METHOD',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138a725e74fd6435d86483781e2bd8e9d2c7',1,'systemc_clang']]], + ['method_5fobject_5fmap_5ft_27',['method_object_map_t',['../namespacehnode.html#ab8cbafd372ebac64fcc36a9aad1fe45f',1,'hnode']]], + ['methodecls_28',['methodecls',['../classsystemc__hdl_1_1HDLBody.html#a39fbae864e81286dee2f7b7aea0ecfba',1,'systemc_hdl::HDLBody::methodecls'],['../classsystemc__hdl_1_1HDLThread.html#a8d5c2c9a5ddaa257cc5ea369f771ab2f',1,'systemc_hdl::HDLThread::methodecls']]], + ['methodobjtypemap_29',['methodobjtypemap',['../classhnode_1_1hfunc__name__map__t.html#ac6f0dda63df3b065f683cdb4f346a89a',1,'hnode::hfunc_name_map_t']]], + ['missingsystemcclang_30',['MissingSystemCClang',['../classsystemc-clang_1_1MissingSystemCClang.html',1,'systemc-clang']]], + ['mod_5fname_5fmap_31',['mod_name_map',['../classsystemc__hdl_1_1HDLMain.html#a27f2cf9e193b03b3ae1c41224fe8f998',1,'systemc_hdl::HDLMain']]], + ['mod_5fvname_5fmap_5f_32',['mod_vname_map_',['../classsystemc__hdl_1_1HDLBody.html#a7ea276331f98b0cfc6cc28150fd81c0d',1,'systemc_hdl::HDLBody::mod_vname_map_'],['../classsystemc__hdl_1_1HDLThread.html#a63e553f8cd4f4e5cc8ab62fd9c0d30e6',1,'systemc_hdl::HDLThread::mod_vname_map_']]], + ['moddecl_33',['moddecl',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a5146732d902a05ba12318ea78772bcda',1,'parselib::transforms::structure_collector::StructureCollector']]], + ['model_34',['Model',['../classsystemc__clang_1_1Model.html',1,'systemc_clang::Model'],['../classsystemc__clang_1_1Model.html#ae3b375de5f6df4faf74a95d64748e048',1,'systemc_clang::Model::Model()'],['../classsystemc__clang_1_1Model.html#afe06c7b7be7051909c4c1d86707fc7df',1,'systemc_clang::Model::Model(const Model &)']]], + ['model_2ecpp_35',['Model.cpp',['../Model_8cpp.html',1,'']]], + ['model_2eh_36',['Model.h',['../Model_8h.html',1,'']]], + ['model_5f_37',['model_',['../classsc__ast__matchers_1_1NetlistMatcher.html#a831183355ba8d58eb9f5a67a0b248300',1,'sc_ast_matchers::NetlistMatcher']]], + ['modifdfs_38',['modifDFS',['../classsystemc__clang_1_1SuspensionAutomata.html#a63d5c4afef3eb581891938f4348765ed',1,'systemc_clang::SuspensionAutomata']]], + ['modportsiglist_39',['modportsiglist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a8cbcec39de17e539f13cda6d131e3372',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.modportsiglist()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#a1233e23824c5a4bdbbc2cb406a18aac2',1,'parselib.transforms.node_movement.ArrayPortMovement.modportsiglist()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aab823a7576d27b97dd423c9b05697829',1,'parselib.transforms.port_expansion.PortExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a0ab98804ca61b2ade1c0d220ce023b0a',1,'parselib.transforms.sort_var_decl.SortVarDecl.modportsiglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab801168295e0b0ff0515967c86cad06a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abc1a32ee87a5be214fd8a6d9df03b156',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modportsiglist()']]], + ['module_5farg_40',['module_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#a73d6f8bc6243a23ab8c29bc0ea6cd99f',1,'parselib::transforms::verilog_tranlation']]], + ['module_5finst_5farg_41',['module_inst_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#ac0902b3236a4f311b48a09e92d144da4',1,'parselib::transforms::verilog_tranlation']]], + ['module_5finstance_5flist_5f_42',['module_instance_list_',['../classsystemc__clang_1_1Model.html#ae3f1415377bb43a8cda4b0cbef328605',1,'systemc_clang::Model']]], + ['module_5finstances_5f_43',['module_instances_',['../classsystemc__clang_1_1Model.html#af69296c842ac8745cc924453f70a98ad',1,'systemc_clang::Model']]], + ['module_5fmatcher_5f_44',['module_matcher_',['../classsc__ast__matchers_1_1NetlistMatcher.html#aa77afa2735c6e5db7510630309fe32fe',1,'sc_ast_matchers::NetlistMatcher']]], + ['module_5fname_5f_45',['module_name_',['../classsystemc__clang_1_1ModuleInstance.html#a4ad8d0b6eaae49ffb0de670a8941890e',1,'systemc_clang::ModuleInstance']]], + ['module_5ftypes_46',['module_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a2befed09dbd2b87fcacb4180d3ce8050',1,'parselib.transforms.type_collector.TypeCollector.module_types'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a4c04c7e57944f0b638eb7b437485a2b3',1,'parselib.transforms.type_collector.TypeCollector.module_types']]], + ['module_5fvar_5ftype_47',['module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a850cf1bffa018941c8fb933d5442ffbf',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['module_5fvars_48',['module_vars',['../classsystemc__hdl_1_1HDLMain.html#acbcdad1f238915a8940bf506877d582b',1,'systemc_hdl::HDLMain']]], + ['modulearrayinst_49',['modulearrayinst',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a01a1d62a3c961ece53e21faf45116901',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.modulearrayinst()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#afdb0fc319bc315f58fb68407271b0ea0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modulearrayinst()']]], + ['moduledeclarationmatcher_50',['ModuleDeclarationMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html',1,'sc_ast_matchers']]], + ['moduleinitializertupletype_51',['ModuleInitializerTupleType',['../namespacesc__ast__matchers_1_1utils.html#a9eca54af25a4033101d4a4201501ff25',1,'sc_ast_matchers::utils']]], + ['moduleinst_52',['moduleinst',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a2715b45b614828bccedb7258534d821c',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.moduleinst()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4e400f255443a0f03b30431d115e3679',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.moduleinst()']]], + ['moduleinstance_53',['ModuleInstance',['../classsystemc__clang_1_1ModuleInstance.html',1,'systemc_clang::ModuleInstance'],['../classsystemc__clang_1_1ModuleInstance.html#ad20799642139128415f4cd86083199bf',1,'systemc_clang::ModuleInstance::ModuleInstance()'],['../classsystemc__clang_1_1ModuleInstance.html#a068adbfdf8a895bae6dbd7b5c22974bd',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::string &, const clang::CXXRecordDecl *)'],['../classsystemc__clang_1_1ModuleInstance.html#a0d1ab890a473eb752e0787a0478f6eb6',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::tuple< const std::string &, clang::CXXRecordDecl * > &)'],['../classsystemc__clang_1_1ModuleInstance.html#a7b553895e8400696d3a385da7852ec59',1,'systemc_clang::ModuleInstance::ModuleInstance(const ModuleInstance &from)']]], + ['moduleinstance_2ecpp_54',['ModuleInstance.cpp',['../ModuleInstance_8cpp.html',1,'']]], + ['moduleinstance_2eh_55',['ModuleInstance.h',['../ModuleInstance_8h.html',1,'']]], + ['moduleinstancemaptype_56',['moduleInstanceMapType',['../classsystemc__clang_1_1Model.html#a722deae84ea98d7438385cf14b52ff10',1,'systemc_clang::Model']]], + ['moduleinstancepairtype_57',['moduleInstancePairType',['../classsystemc__clang_1_1Model.html#aed2577b4b869d52665a37bf5661ef45a',1,'systemc_clang::Model']]], + ['moduleinstancetuple_58',['ModuleInstanceTuple',['../classsc__ast__matchers_1_1InstanceMatcher.html#a3a61a9046b2109dff81ccb117b61b643',1,'sc_ast_matchers::InstanceMatcher']]], + ['moduleinstancetype_59',['ModuleInstanceType',['../structsc__ast__matchers_1_1ModuleInstanceType.html',1,'sc_ast_matchers::ModuleInstanceType'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad62e9f4402009961f4ba3383bcfb88df',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac7d33859a1ca9e45797c1077c9246b4e',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType(const ModuleInstanceType &rhs)']]], + ['moduleinstancetype_2eh_60',['ModuleInstanceType.h',['../ModuleInstanceType_8h.html',1,'']]], + ['modulelist_61',['modulelist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a29386e88e2a81c709e25f76deeabc762',1,'parselib.transforms.interface_generation.InterfaceGeneration.modulelist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adca5d474d2800746df0b448a530ff4c7',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modulelist()']]], + ['modulemaptype_62',['ModuleMapType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#af7d03f28942c18770ea7cf5393d5fba4',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['modulemaptype_63',['moduleMapType',['../classsystemc__clang_1_1Model.html#a9e970af870b2b1cd011b3bbe17f7b554',1,'systemc_clang::Model']]], + ['modulepairtype_64',['ModulePairType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#adfeab80da6957070c45ad2221ff3e3d9',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['modulepairtype_65',['modulePairType',['../classsystemc__clang_1_1Model.html#a06c353c03e8991dc5eac7e83f43f33b8',1,'systemc_clang::Model']]], + ['moduleprocesspairtype_66',['moduleProcessPairType',['../classsystemc__clang_1_1ModuleInstance.html#aad9e54c7b4298fff8f2354084c60f0cb',1,'systemc_clang::ModuleInstance']]], + ['modules_5f_67',['modules_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#acdc5aec85f8992d45e5d515e27686cd8',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['mutil_68',['mutil',['../classsystemc__hdl_1_1HDLMain.html#a438e4e1bbd3d3d4d29ccd70ea324528b',1,'systemc_hdl::HDLMain']]] ]; diff --git a/search/all_d.js b/search/all_d.js index 43b56226..deaf3964 100644 --- a/search/all_d.js +++ b/search/all_d.js @@ -1,6 +1,6 @@ var searchData= [ - ['name_0',['name',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a74fd072f16e39644d8fad44b23b26201',1,'systemc_hdl::HDLConstructorHcode::for_info_t::name'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a6433c8c7a670f83fdd248a01a9e46942',1,'parselib.transforms.node.TypeDefType.name'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#a1e3e7c439ede68d2de0bfcd4ecf64b76',1,'parselib.transforms.type_node.TypeNode.name']]], + ['name_0',['name',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a74fd072f16e39644d8fad44b23b26201',1,'systemc_hdl::HDLConstructorHcode::for_info_t::name'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a6433c8c7a670f83fdd248a01a9e46942',1,'parselib.transforms.node.TypeDefType.name'],['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html#a2d6fa65da51f4e2130efcef44394da89',1,'parselib.transforms.passes.PrettyPrintModule.name'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#a1e3e7c439ede68d2de0bfcd4ecf64b76',1,'parselib.transforms.type_node.TypeNode.name']]], ['name_5f_1',['name_',['../classsystemc__clang_1_1EventDecl.html#a66e51ad163fd2172a9632b98be35c62b',1,'systemc_clang::EventDecl::name_'],['../classsystemc__clang_1_1InterfaceDecl.html#a4021bf768bd39f6fe1992fd928e87b9a',1,'systemc_clang::InterfaceDecl::name_'],['../classsystemc__clang_1_1NotifyCalls.html#ac53160a5973881e68fd83ae37dd027b2',1,'systemc_clang::NotifyCalls::name_']]], ['name_5ffilter_2',['name_filter',['../classparselib_1_1primitives_1_1Primitive.html#ae5967a52938b9dda8fb0608b36d17bef',1,'parselib::primitives::Primitive']]], ['name_5fmapping_3',['name_mapping',['../classparselib_1_1primitives_1_1Primitive.html#ac0a083ff5577c920f1430cb248816150',1,'parselib::primitives::Primitive']]], @@ -11,7 +11,7 @@ var searchData= ['namespacematcher_8',['NamespaceMatcher',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html',1,'sc_ast_matchers::utils']]], ['needwaitswitchcase_9',['needwaitswitchcase',['../classsystemc__hdl_1_1HDLThread.html#ae3897a690e32c79981c8e025a94ce8bf',1,'systemc_hdl::HDLThread']]], ['nested_5fmodules_5f_10',['nested_modules_',['../classsystemc__clang_1_1ModuleInstance.html#a714bc3fd388def5531747edd6ab4d825',1,'systemc_clang::ModuleInstance']]], - ['nesting_5fassign_11',['nesting_assign',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a3c82ee2896ae8064401f6eb1afc38a13',1,'parselib::transforms::comma_transformation::CommaTransformation']]], + ['nesting_5fassign_11',['nesting_assign',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#acb980b30d7daee48a3e7f48abe3af31e',1,'parselib::transforms::comma_transformation::CommaTransformation']]], ['netlistmatcher_12',['NetlistMatcher',['../classsc__ast__matchers_1_1NetlistMatcher.html',1,'sc_ast_matchers']]], ['netlistmatcher_2eh_13',['NetlistMatcher.h',['../NetlistMatcher_8h.html',1,'']]], ['new_5fphantom_5fvar_5fname_14',['new_phantom_var_name',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a456e185d5008c724c6cd73de6e8cfdc9',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], @@ -28,33 +28,34 @@ var searchData= ['node_2epy_25',['node.py',['../node_8py.html',1,'']]], ['node_5fmerge_2epy_26',['node_merge.py',['../node__merge_8py.html',1,'']]], ['node_5fmovement_2epy_27',['node_movement.py',['../node__movement_8py.html',1,'']]], - ['nodeidvector_28',['nodeIDVector',['../classsystemc__clang_1_1Graph.html#a54442bd306bba787fcca6446837c7d60',1,'systemc_clang::Graph']]], - ['nodemaptype_29',['nodeMapType',['../classsystemc__clang_1_1Graph.html#a7af5211f31c0ef1ee48d0ab23df30caa',1,'systemc_clang::Graph']]], + ['nodeidvector_28',['nodeIDVector',['../classsystemc__clang_1_1Graph.html#a6c1d99d38cb564e22910d330ad69955f',1,'systemc_clang::Graph']]], + ['nodemaptype_29',['nodeMapType',['../classsystemc__clang_1_1Graph.html#a69d0bf22711f108901a793976d742c66',1,'systemc_clang::Graph']]], ['nodemergepass_30',['NodeMergePass',['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html',1,'parselib::transforms::node_merge']]], ['nodemovement_31',['NodeMovement',['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html',1,'parselib::transforms::node_movement']]], - ['nodepairtype_32',['nodePairType',['../classsystemc__clang_1_1Graph.html#a39f2c14c0eb9e331c896313eb9bb7a14',1,'systemc_clang::Graph']]], + ['nodepairtype_32',['nodePairType',['../classsystemc__clang_1_1Graph.html#acb9f4ba292b967e0ef1b2c2457c41392',1,'systemc_clang::Graph']]], ['nodes_5fbft_5f_33',['nodes_bft_',['../classsystemc__clang_1_1Tree.html#a3ec4582fc73412f591f07e4a9dec78c7',1,'systemc_clang::Tree']]], ['nodes_5fdft_5f_34',['nodes_dft_',['../classsystemc__clang_1_1Tree.html#a1ada4db9ba8e2ef9f06fc3c86910bf07',1,'systemc_clang::Tree::nodes_dft_'],['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#abb3cce3068aa5c4cc16e2f477dac9aba',1,'systemc_clang::Tree::const_dft_iterator::nodes_dft_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ad6ca778b8d2ab673f0aa7703f1ed0f39',1,'systemc_clang::Tree::dft_iterator::nodes_dft_']]], - ['nodevector_35',['nodeVector',['../classsystemc__clang_1_1Graph.html#a3b0352fd1dd6b94efbefa9a7371f8d96',1,'systemc_clang::Graph']]], - ['none_36',['NONE',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138ab50339a10e1de285ac99d4c3990b8693',1,'systemc_clang']]], - ['nonrefexp_37',['nonrefexp',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a748a7b34b828db147f2ee6f71f4c2f12',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['normalizeassignmentchain_38',['NormalizeAssignmentChain',['../classsystemc__hdl_1_1HDLBody.html#aa3586afbcf8a44a7f9fc9171ff44e5bc',1,'systemc_hdl::HDLBody']]], - ['normalizeswitchstmt_39',['NormalizeSwitchStmt',['../classsystemc__hdl_1_1HDLBody.html#a48ac550550396fcf06def4b9a1713791',1,'systemc_hdl::HDLBody']]], - ['notify_5fcall_5f_40',['notify_call_',['../classsystemc__clang_1_1FindNotify.html#a95e9c0fdda39d6f0ced21e3f8d2eb844',1,'systemc_clang::FindNotify']]], - ['notify_5fcall_5flist_5f_41',['notify_call_list_',['../classsystemc__clang_1_1FindNotify.html#a3a49ad8c12643d399b9e1c76a5d30979',1,'systemc_clang::FindNotify::notify_call_list_'],['../classsystemc__clang_1_1NotifyCalls.html#abea71681d233e979c80c5f615172f606',1,'systemc_clang::NotifyCalls::notify_call_list_']]], - ['notifycalllisttype_42',['NotifyCallListType',['../classsystemc__clang_1_1FindNotify.html#a1a418b4ae6a30abef0df15567d87db73',1,'systemc_clang::FindNotify']]], - ['notifycalls_43',['NotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html',1,'systemc_clang::NotifyCalls'],['../classsystemc__clang_1_1NotifyCalls.html#a6e4eadb356a0ac1576d4fad6cd81f897',1,'systemc_clang::NotifyCalls::NotifyCalls()'],['../classsystemc__clang_1_1NotifyCalls.html#abdd592a779bb51e69447eac952b16b3f',1,'systemc_clang::NotifyCalls::NotifyCalls(const std::string &, FindNotify::NotifyCallListType)'],['../classsystemc__clang_1_1NotifyCalls.html#a8a0936f6271d3747014a9cc0afb5ef02',1,'systemc_clang::NotifyCalls::NotifyCalls(const NotifyCalls &)']]], - ['notifycalls_2ecpp_44',['NotifyCalls.cpp',['../NotifyCalls_8cpp.html',1,'']]], - ['notifycalls_2eh_45',['NotifyCalls.h',['../NotifyCalls_8h.html',1,'']]], - ['notifycontainer_46',['NotifyContainer',['../classsystemc__clang_1_1NotifyContainer.html',1,'systemc_clang::NotifyContainer'],['../classsystemc__clang_1_1NotifyContainer.html#a0aab8dcca4c343e8b12279ee91db72df',1,'systemc_clang::NotifyContainer::NotifyContainer()'],['../classsystemc__clang_1_1NotifyContainer.html#a4ca8503404ce719cd5a1980a29d5965d',1,'systemc_clang::NotifyContainer::NotifyContainer(CXXMethodDecl *, CallExpr *)'],['../classsystemc__clang_1_1NotifyContainer.html#a6dc9efa088af938281a811d18b3c844a',1,'systemc_clang::NotifyContainer::NotifyContainer(const NotifyContainer &)']]], - ['notifycontainer_2ecpp_47',['NotifyContainer.cpp',['../NotifyContainer_8cpp.html',1,'']]], - ['notifycontainer_2eh_48',['NotifyContainer.h',['../NotifyContainer_8h.html',1,'']]], - ['notifycontainerlisttype_49',['notifyContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a09f02d859a285ccdc726dd897fae866f',1,'systemc_clang::EntryFunctionContainer']]], - ['npa_50',['npa',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ab57aa4d4e9cba6398ed2401e971c2444',1,'parselib.transforms.literal_expansion.LiteralExpansion.npa()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac8abdb23b5ea83e1d9e96786dad577a5',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.npa()']]], - ['ns_51',['ns',['../classhnode_1_1newname__map__t.html#a769e4c6b63efb3986cd805b4674bda62',1,'hnode::newname_map_t']]], - ['ns_5fname_52',['ns_name',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#a3a932cb54267fe68b5ffcbca5b77ef62',1,'sc_ast_matchers::utils::NamespaceMatcher']]], - ['numlit_53',['numlit',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a588e1051d3e072a34f285e3b4a368b52',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['numlitwidth_54',['numlitwidth',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ae6a6f7f7cdf26d5a7c7d50b61426d579',1,'parselib.transforms.literal_expansion.LiteralExpansion.numlitwidth()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a65e45defe52c8d328d2267a68d9a4dfa',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.numlitwidth()']]], - ['numstates_55',['numstates',['../classsystemc__hdl_1_1HDLThread.html#a3594305d96a526ef01ec166bc43d9e95',1,'systemc_hdl::HDLThread']]], - ['numstr_56',['numstr',['../classhnode_1_1util.html#aa328c7a165b1c61c2f40826d13a98391',1,'hnode::util']]] + ['nodevector_35',['nodeVector',['../classsystemc__clang_1_1Graph.html#a5323e3b5d070d538723efb3da07e2dac',1,'systemc_clang::Graph']]], + ['non_5fthread_5fcomb_5fsignals_36',['non_thread_comb_signals',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa17594f149f5bb2f6dfddea8c81eeb87',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.non_thread_comb_signals'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3b54caed7e4ef1c781e1947c8c13fcb9',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.non_thread_comb_signals']]], + ['none_37',['NONE',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138ab50339a10e1de285ac99d4c3990b8693',1,'systemc_clang']]], + ['nonrefexp_38',['nonrefexp',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a748a7b34b828db147f2ee6f71f4c2f12',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['normalizeassignmentchain_39',['NormalizeAssignmentChain',['../classsystemc__hdl_1_1HDLBody.html#aa3586afbcf8a44a7f9fc9171ff44e5bc',1,'systemc_hdl::HDLBody']]], + ['normalizeswitchstmt_40',['NormalizeSwitchStmt',['../classsystemc__hdl_1_1HDLBody.html#a48ac550550396fcf06def4b9a1713791',1,'systemc_hdl::HDLBody']]], + ['notify_5fcall_5f_41',['notify_call_',['../classsystemc__clang_1_1FindNotify.html#a95e9c0fdda39d6f0ced21e3f8d2eb844',1,'systemc_clang::FindNotify']]], + ['notify_5fcall_5flist_5f_42',['notify_call_list_',['../classsystemc__clang_1_1FindNotify.html#a3a49ad8c12643d399b9e1c76a5d30979',1,'systemc_clang::FindNotify::notify_call_list_'],['../classsystemc__clang_1_1NotifyCalls.html#abea71681d233e979c80c5f615172f606',1,'systemc_clang::NotifyCalls::notify_call_list_']]], + ['notifycalllisttype_43',['NotifyCallListType',['../classsystemc__clang_1_1FindNotify.html#a1a418b4ae6a30abef0df15567d87db73',1,'systemc_clang::FindNotify']]], + ['notifycalls_44',['NotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html',1,'systemc_clang::NotifyCalls'],['../classsystemc__clang_1_1NotifyCalls.html#a6e4eadb356a0ac1576d4fad6cd81f897',1,'systemc_clang::NotifyCalls::NotifyCalls()'],['../classsystemc__clang_1_1NotifyCalls.html#abdd592a779bb51e69447eac952b16b3f',1,'systemc_clang::NotifyCalls::NotifyCalls(const std::string &, FindNotify::NotifyCallListType)'],['../classsystemc__clang_1_1NotifyCalls.html#a8a0936f6271d3747014a9cc0afb5ef02',1,'systemc_clang::NotifyCalls::NotifyCalls(const NotifyCalls &)']]], + ['notifycalls_2ecpp_45',['NotifyCalls.cpp',['../NotifyCalls_8cpp.html',1,'']]], + ['notifycalls_2eh_46',['NotifyCalls.h',['../NotifyCalls_8h.html',1,'']]], + ['notifycontainer_47',['NotifyContainer',['../classsystemc__clang_1_1NotifyContainer.html',1,'systemc_clang::NotifyContainer'],['../classsystemc__clang_1_1NotifyContainer.html#a0aab8dcca4c343e8b12279ee91db72df',1,'systemc_clang::NotifyContainer::NotifyContainer()'],['../classsystemc__clang_1_1NotifyContainer.html#a4ca8503404ce719cd5a1980a29d5965d',1,'systemc_clang::NotifyContainer::NotifyContainer(CXXMethodDecl *, CallExpr *)'],['../classsystemc__clang_1_1NotifyContainer.html#a6dc9efa088af938281a811d18b3c844a',1,'systemc_clang::NotifyContainer::NotifyContainer(const NotifyContainer &)']]], + ['notifycontainer_2ecpp_48',['NotifyContainer.cpp',['../NotifyContainer_8cpp.html',1,'']]], + ['notifycontainer_2eh_49',['NotifyContainer.h',['../NotifyContainer_8h.html',1,'']]], + ['notifycontainerlisttype_50',['notifyContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ac54d2cf396c1772ba2a521f35bcb724f',1,'systemc_clang::EntryFunctionContainer']]], + ['npa_51',['npa',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ab57aa4d4e9cba6398ed2401e971c2444',1,'parselib.transforms.literal_expansion.LiteralExpansion.npa()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac8abdb23b5ea83e1d9e96786dad577a5',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.npa()']]], + ['ns_52',['ns',['../classhnode_1_1newname__map__t.html#a769e4c6b63efb3986cd805b4674bda62',1,'hnode::newname_map_t']]], + ['ns_5fname_53',['ns_name',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#a3a932cb54267fe68b5ffcbca5b77ef62',1,'sc_ast_matchers::utils::NamespaceMatcher']]], + ['numlit_54',['numlit',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a588e1051d3e072a34f285e3b4a368b52',1,'parselib.transforms.literal_expansion.LiteralExpansion.numlit()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a6d9016777e757920bb981e1b4344c477',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.numlit()']]], + ['numlitwidth_55',['numlitwidth',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ae6a6f7f7cdf26d5a7c7d50b61426d579',1,'parselib.transforms.literal_expansion.LiteralExpansion.numlitwidth()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a65e45defe52c8d328d2267a68d9a4dfa',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.numlitwidth()']]], + ['numstates_56',['numstates',['../classsystemc__hdl_1_1HDLThread.html#a3594305d96a526ef01ec166bc43d9e95',1,'systemc_hdl::HDLThread']]], + ['numstr_57',['numstr',['../classhnode_1_1util.html#aa328c7a165b1c61c2f40826d13a98391',1,'hnode::util']]] ]; diff --git a/search/all_e.js b/search/all_e.js index cd94b8d0..7b073f06 100644 --- a/search/all_e.js +++ b/search/all_e.js @@ -2,19 +2,22 @@ var searchData= [ ['ointerfaces_5f_0',['ointerfaces_',['../classsystemc__clang_1_1ModuleInstance.html#a36c21b835e95ca575f51daab77941f5d',1,'systemc_clang::ModuleInstance']]], ['oldn_1',['oldn',['../structhnode_1_1names__t.html#ae41f95f95e7e0b72c4f4c399d72dd7a5',1,'hnode::names_t']]], - ['operator_21_3d_2',['operator!=',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a7ae6cc40cd5ddc303f184772f46ed31a',1,'systemc_clang::Tree::const_dft_iterator::operator!=()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ac324097ed175b782af7b806d88f2d30e',1,'systemc_clang::Tree::dft_iterator::operator!=()']]], - ['operator_2a_3',['operator*',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#aeb0baa5c9c645d08e0cef32ad1559a2d',1,'systemc_clang::Tree::const_dft_iterator::operator*()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#acc98ee72380560adb8052992652112a6',1,'systemc_clang::Tree::dft_iterator::operator*()']]], - ['operator_2b_2b_4',['operator++',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a18735b987124c8f757f78dc6bc4d4207',1,'systemc_clang::Tree::const_dft_iterator::operator++()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#a625feca921860d695019a8e1bb83e576',1,'systemc_clang::Tree::dft_iterator::operator++()']]], - ['operator_3d_5',['operator=',['../structsystemc__clang_1_1SupplementaryInfo.html#a5710b253377da1f2dab2c0b1f58dc2c4',1,'systemc_clang::SupplementaryInfo::operator=()'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad25ba3f1cb1e5c6c352e86c5248b7bc7',1,'systemc_clang::SplitCFGPathInfo::operator=()'],['../classsystemc__clang_1_1SplitCFG.html#ae24068836ab718338b36ea79d352fb18',1,'systemc_clang::SplitCFG::operator=()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a7b6986bd6eee15c0739be945023ef51b',1,'sc_ast_matchers::InstanceMatcher::operator=()'],['../classsystemc__clang_1_1ModuleInstance.html#a2458362880d4d58a23ec115ed736e73c',1,'systemc_clang::ModuleInstance::operator=()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#af8b632743ebc40f0ab3f34d6cab5eb70',1,'sc_ast_matchers::ModuleInstanceType::operator=(const ModuleInstanceType &rhs)']]], - ['operator_3d_3d_6',['operator==',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afaf59d3bf2ff23c65984cd66bdc5f072',1,'sc_ast_matchers::ModuleInstanceType']]], - ['orig_5fname_7',['orig_name',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a620d1552b0d4ae7bc619975f2acc79b1',1,'parselib::transforms::name_stub::ProcessVarNameStub']]], - ['os_5f_8',['os_',['../classsystemc__clang_1_1FindConstructor.html#ab0a16d0f1e9a7b0543f844f07a3e5a67',1,'systemc_clang::FindConstructor::os_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a693c60bf6a806b7323ce13ed1070230f',1,'systemc_clang::FindEntryFunctions::os_'],['../classsystemc__clang_1_1FindEvents.html#a8428236a092c06656bf5bd959fdeed56',1,'systemc_clang::FindEvents::os_'],['../classsystemc__clang_1_1FindNotify.html#a81fd676da5acac49163fe4a656de435d',1,'systemc_clang::FindNotify::os_'],['../classsystemc__clang_1_1FindSCMain.html#a0b38e2c16d8375b57a81d3e598249226',1,'systemc_clang::FindSCMain::os_'],['../classsystemc__clang_1_1FindSimTime.html#ad0898032a7651c1df90d07d568fca9c5',1,'systemc_clang::FindSimTime::os_'],['../classsystemc__clang_1_1FindWait.html#a0dc005c358572d06b0b9b4d5945c832b',1,'systemc_clang::FindWait::os_'],['../classsystemc__clang_1_1SystemCConsumer.html#a4f19cdcdc826eced7e9bdcd8890b4b85',1,'systemc_clang::SystemCConsumer::os_']]], - ['ostreamports_5f_9',['ostreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a15889d9dba330ccc10abdfa316fffa93',1,'systemc_clang::ModuleInstance']]], - ['other_5ffields_5f_10',['other_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#aad7fa3383018549914978aa2ae70cbe0',1,'sc_ast_matchers::PortMatcher::other_fields_'],['../classsystemc__clang_1_1ModuleInstance.html#a4e5b5f3ae512db4da7e512eb4c8d88bb',1,'systemc_clang::ModuleInstance::other_fields_']]], - ['other_5ffunction_5flist_5f_11',['other_function_list_',['../classsystemc__clang_1_1FindEntryFunctions.html#a37ec517421a5e437e5cad9ec6697db55',1,'systemc_clang::FindEntryFunctions']]], - ['out_5fports_5f_12',['out_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6c758be3a03eda8017b63e7b1d26005f',1,'sc_ast_matchers::PortMatcher::out_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a461e9a2551826cec1646a13a94caa2d1',1,'systemc_clang::ModuleInstance::out_ports_']]], - ['outstream_5fports_5f_13',['outstream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a1871cef913dd1e3f60e698b9b70e429e',1,'sc_ast_matchers::PortMatcher']]], - ['outter_5ftop_14',['outter_top',['../classsystemc__clang_1_1SplitCFG.html#acbec6137b922bcae529fd3da1058a102',1,'systemc_clang::SplitCFG']]], - ['overridden_5fmethod_5fmap_5f_15',['overridden_method_map_',['../classsystemc__hdl_1_1HDLBody.html#a372452e6149f7f88239e7477bc5c0778',1,'systemc_hdl::HDLBody::overridden_method_map_'],['../classsystemc__hdl_1_1HDLThread.html#ab6a289b221a7cce91778caae55598d51',1,'systemc_hdl::HDLThread::overridden_method_map_']]], - ['overridden_5fmethod_5fmap_5ft_16',['overridden_method_map_t',['../namespacehnode.html#ae9b47ac8fc9acfb60877d41ce71174ba',1,'hnode']]] + ['only_5fsensevar_2',['only_sensevar',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a769c10f542ab92c5566b756befb3cac3',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['operator_21_3d_3',['operator!=',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a7ae6cc40cd5ddc303f184772f46ed31a',1,'systemc_clang::Tree::const_dft_iterator::operator!=()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ac324097ed175b782af7b806d88f2d30e',1,'systemc_clang::Tree::dft_iterator::operator!=()']]], + ['operator_2a_4',['operator*',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#aeb0baa5c9c645d08e0cef32ad1559a2d',1,'systemc_clang::Tree::const_dft_iterator::operator*()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#acc98ee72380560adb8052992652112a6',1,'systemc_clang::Tree::dft_iterator::operator*()']]], + ['operator_2b_2b_5',['operator++',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a18735b987124c8f757f78dc6bc4d4207',1,'systemc_clang::Tree::const_dft_iterator::operator++()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#a625feca921860d695019a8e1bb83e576',1,'systemc_clang::Tree::dft_iterator::operator++()']]], + ['operator_3d_6',['operator=',['../structsystemc__clang_1_1SupplementaryInfo.html#a5710b253377da1f2dab2c0b1f58dc2c4',1,'systemc_clang::SupplementaryInfo::operator=()'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad25ba3f1cb1e5c6c352e86c5248b7bc7',1,'systemc_clang::SplitCFGPathInfo::operator=()'],['../classsystemc__clang_1_1SplitCFG.html#ae24068836ab718338b36ea79d352fb18',1,'systemc_clang::SplitCFG::operator=()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a7b6986bd6eee15c0739be945023ef51b',1,'sc_ast_matchers::InstanceMatcher::operator=()'],['../classsystemc__clang_1_1ModuleInstance.html#a2458362880d4d58a23ec115ed736e73c',1,'systemc_clang::ModuleInstance::operator=()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#af8b632743ebc40f0ab3f34d6cab5eb70',1,'sc_ast_matchers::ModuleInstanceType::operator=(const ModuleInstanceType &rhs)']]], + ['operator_3d_3d_7',['operator==',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afaf59d3bf2ff23c65984cd66bdc5f072',1,'sc_ast_matchers::ModuleInstanceType']]], + ['orig_5fname_8',['orig_name',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a620d1552b0d4ae7bc619975f2acc79b1',1,'parselib::transforms::name_stub::ProcessVarNameStub']]], + ['os_5f_9',['os_',['../classsystemc__clang_1_1FindConstructor.html#ab0a16d0f1e9a7b0543f844f07a3e5a67',1,'systemc_clang::FindConstructor::os_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a693c60bf6a806b7323ce13ed1070230f',1,'systemc_clang::FindEntryFunctions::os_'],['../classsystemc__clang_1_1FindEvents.html#a8428236a092c06656bf5bd959fdeed56',1,'systemc_clang::FindEvents::os_'],['../classsystemc__clang_1_1FindNotify.html#a81fd676da5acac49163fe4a656de435d',1,'systemc_clang::FindNotify::os_'],['../classsystemc__clang_1_1FindSCMain.html#a0b38e2c16d8375b57a81d3e598249226',1,'systemc_clang::FindSCMain::os_'],['../classsystemc__clang_1_1FindSimTime.html#ad0898032a7651c1df90d07d568fca9c5',1,'systemc_clang::FindSimTime::os_'],['../classsystemc__clang_1_1FindWait.html#a0dc005c358572d06b0b9b4d5945c832b',1,'systemc_clang::FindWait::os_'],['../classsystemc__clang_1_1SystemCConsumer.html#a4f19cdcdc826eced7e9bdcd8890b4b85',1,'systemc_clang::SystemCConsumer::os_']]], + ['ostreamports_5f_10',['ostreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a15889d9dba330ccc10abdfa316fffa93',1,'systemc_clang::ModuleInstance']]], + ['other_5ffields_5f_11',['other_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#aad7fa3383018549914978aa2ae70cbe0',1,'sc_ast_matchers::PortMatcher::other_fields_'],['../classsystemc__clang_1_1ModuleInstance.html#a4e5b5f3ae512db4da7e512eb4c8d88bb',1,'systemc_clang::ModuleInstance::other_fields_']]], + ['other_5ffunction_5flist_5f_12',['other_function_list_',['../classsystemc__clang_1_1FindEntryFunctions.html#a37ec517421a5e437e5cad9ec6697db55',1,'systemc_clang::FindEntryFunctions']]], + ['out_5fports_5f_13',['out_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6c758be3a03eda8017b63e7b1d26005f',1,'sc_ast_matchers::PortMatcher::out_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a461e9a2551826cec1646a13a94caa2d1',1,'systemc_clang::ModuleInstance::out_ports_']]], + ['outportdecl_14',['outportdecl',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a41c8ae0f71de9a1b827a400e771feb2f',1,'parselib.transforms.interface_generation.InterfaceGeneration.outportdecl()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a5879179c0c0d6b4df1d190e694cee622',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.outportdecl(self, tree)']]], + ['output_15',['OUTPUT',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a2551561245def398212743bf16bd70d1',1,'parselib::transforms::portbinding_recollect::PortDirectionCollector']]], + ['outstream_5fports_5f_16',['outstream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a1871cef913dd1e3f60e698b9b70e429e',1,'sc_ast_matchers::PortMatcher']]], + ['outter_5ftop_5f_17',['outter_top_',['../classsystemc__clang_1_1SplitCFG.html#a91ecfe5e4e0c41b68d6641f65e04b034',1,'systemc_clang::SplitCFG']]], + ['overridden_5fmethod_5fmap_5f_18',['overridden_method_map_',['../classsystemc__hdl_1_1HDLBody.html#a372452e6149f7f88239e7477bc5c0778',1,'systemc_hdl::HDLBody::overridden_method_map_'],['../classsystemc__hdl_1_1HDLThread.html#ab6a289b221a7cce91778caae55598d51',1,'systemc_hdl::HDLThread::overridden_method_map_']]], + ['overridden_5fmethod_5fmap_5ft_19',['overridden_method_map_t',['../namespacehnode.html#ae9b47ac8fc9acfb60877d41ce71174ba',1,'hnode']]] ]; diff --git a/search/all_f.js b/search/all_f.js index 7d8023b7..852344b7 100644 --- a/search/all_f.js +++ b/search/all_f.js @@ -18,109 +18,121 @@ var searchData= ['parselib_3a_3atransforms_3a_3afunction_5fparam_5fmarker_15',['function_param_marker',['../namespaceparselib_1_1transforms_1_1function__param__marker.html',1,'parselib::transforms']]], ['parselib_3a_3atransforms_3a_3afunction_5ftransformation_5fpass_16',['function_transformation_pass',['../namespaceparselib_1_1transforms_1_1function__transformation__pass.html',1,'parselib::transforms']]], ['parselib_3a_3atransforms_3a_3ahelpers_17',['helpers',['../namespaceparselib_1_1transforms_1_1helpers.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aliteral_5fexpansion_18',['literal_expansion',['../namespaceparselib_1_1transforms_1_1literal__expansion.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aname_5fstub_19',['name_stub',['../namespaceparselib_1_1transforms_1_1name__stub.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3anode_20',['node',['../namespaceparselib_1_1transforms_1_1node.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3anode_5fmerge_21',['node_merge',['../namespaceparselib_1_1transforms_1_1node__merge.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3anode_5fmovement_22',['node_movement',['../namespaceparselib_1_1transforms_1_1node__movement.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3apasses_23',['passes',['../namespaceparselib_1_1transforms_1_1passes.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aport_5fexpansion_24',['port_expansion',['../namespaceparselib_1_1transforms_1_1port__expansion.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3areorder_5fmod_5finit_5fblock_25',['reorder_mod_init_block',['../namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aslice_5fmerge_26',['slice_merge',['../namespaceparselib_1_1transforms_1_1slice__merge.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3asort_5fvar_5fdecl_27',['sort_var_decl',['../namespaceparselib_1_1transforms_1_1sort__var__decl.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3astructure_5fcollector_28',['structure_collector',['../namespaceparselib_1_1transforms_1_1structure__collector.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atop_5fdown_29',['top_down',['../namespaceparselib_1_1transforms_1_1top__down.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atype_5fcollector_30',['type_collector',['../namespaceparselib_1_1transforms_1_1type__collector.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atype_5fnode_31',['type_node',['../namespaceparselib_1_1transforms_1_1type__node.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atypedef_5fexpansion_32',['typedef_expansion',['../namespaceparselib_1_1transforms_1_1typedef__expansion.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atypedef_5ffilter_33',['typedef_filter',['../namespaceparselib_1_1transforms_1_1typedef__filter.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3averilog_5ftranlation_34',['verilog_tranlation',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html',1,'parselib::transforms']]], - ['parselib_3a_3autils_35',['utils',['../namespaceparselib_1_1utils.html',1,'parselib']]], - ['parser_36',['parser',['../namespacesystemc-clang.html#ab2bb47e81abd0921c92a259e649f3bdb',1,'systemc-clang']]], - ['parser_20for_20systemc_20constructs_20built_20using_20clang_37',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], - ['parsetemplatetype_38',['parseTemplateType',['../classsc__ast__matchers_1_1PortMatcher.html#ac537904be7197f770dfcc317481cc624',1,'sc_ast_matchers::PortMatcher']]], - ['parsevardecl_39',['parseVarDecl',['../classsc__ast__matchers_1_1InstanceMatcher.html#a770fd63f4e6a2ae106fda6d1d91f590e',1,'sc_ast_matchers::InstanceMatcher']]], - ['pass_5f_40',['pass_',['../classsystemc__clang_1_1FindConstructor.html#a05c3661a0c992c55af89336c3c00eb61',1,'systemc_clang::FindConstructor::pass_'],['../classsystemc__clang_1_1FindEntryFunctions.html#adefc3c1a7fc8504ac7aea94b62c16db3',1,'systemc_clang::FindEntryFunctions::pass_']]], - ['passes_2epy_41',['passes.py',['../passes_8py.html',1,'']]], - ['path_5fidx_5f_42',['path_idx_',['../structsystemc__clang_1_1SupplementaryInfo.html#a43dbad254d32317c2d01cbf520dcc79a',1,'systemc_clang::SupplementaryInfo::path_idx_'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4ca1bb929c1eb90aab3bba54aae32abd',1,'systemc_clang::SplitCFGPathInfo::path_idx_']]], - ['path_5finfo_5f_43',['path_info_',['../classsystemc__clang_1_1SplitCFG.html#aacb9a8b57663904c6113bd4acd6409b0',1,'systemc_clang::SplitCFG']]], - ['pathnodevisited_44',['pathnodevisited',['../classsystemc__hdl_1_1HDLThread.html#abaa5074e36e3b377ee87c85e8efd238e',1,'systemc_hdl::HDLThread']]], - ['paths_5f_45',['paths_',['../classsystemc__clang_1_1SplitCFG.html#a9410d51bd64e5a798ef233f3813cd466',1,'systemc_clang::SplitCFG']]], - ['pluginaction_46',['PluginAction',['../classsystemc__clang_1_1PluginAction.html',1,'systemc_clang::PluginAction'],['../classsystemc__clang_1_1PluginAction.html#a3ddc8af9a5f4c478b1d769232421e266',1,'systemc_clang::PluginAction::PluginAction()']]], - ['pluginaction_2eh_47',['PluginAction.h',['../PluginAction_8h.html',1,'']]], - ['pointer_48',['pointer',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae69795e7ed3813f062e1bc83f6b61c3f',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['pop_5fcurrent_5fscope_5ftype_49',['pop_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad60f537d210f354cb51fecb67a7c69ee',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['pop_5findent_50',['pop_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a37a9476d5ad94010f1b3d2f038455925',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['pop_5fscope_51',['pop_scope',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#abcd60ab649774e55c943e4d8f98b3a7c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['popping_5f_52',['popping_',['../classsystemc__clang_1_1SplitCFG.html#a8aee0b48dc5fe91fefa146f2e4999fe6',1,'systemc_clang::SplitCFG']]], - ['poprange_53',['PopRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#abbad8033124d9af4a8fee96f31a3195f',1,'systemc_hdl::HDLConstructorHcode']]], - ['populateargmap_54',['populateArgMap',['../classsystemc__clang_1_1NotifyContainer.html#a57f0ca66d08e1507e0dd51e1dad1eaa8',1,'systemc_clang::NotifyContainer::populateArgMap()'],['../classsystemc__clang_1_1WaitContainer.html#ab7253a21f5687c3e20c269377698dee8',1,'systemc_clang::WaitContainer::populateArgMap()']]], - ['populatenestedmodules_55',['populateNestedModules',['../classsystemc__clang_1_1Model.html#a1d873012ddb4bcb559dfbc08551d08ca',1,'systemc_clang::Model']]], - ['port_5fbinding_5fmodule_56',['port_binding_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ace54d197952148186f3e9f4973847700',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['port_5fbindings_57',['port_bindings',['../classparselib_1_1primitives_1_1vmodule.html#a9151fa6eaaa337df4058ffc371bb711b',1,'parselib::primitives::vmodule']]], - ['port_5fbindings_5f_58',['port_bindings_',['../classsystemc__clang_1_1ModuleInstance.html#a6ed65413c2d02d22276cdc23688f4134',1,'systemc_clang::ModuleInstance']]], - ['port_5fexpansion_2epy_59',['port_expansion.py',['../port__expansion_8py.html',1,'']]], - ['port_5fname_5f_60',['port_name_',['../classsystemc__clang_1_1PortDecl.html#a03d8aad20ac4114a3170bd6c6173b312',1,'systemc_clang::PortDecl']]], - ['port_5fsignal_5finstance_5fmap_5f_61',['port_signal_instance_map_',['../classsystemc__clang_1_1Model.html#a6b9d98d87c5224778046c38894ba98a3',1,'systemc_clang::Model']]], - ['port_5fsignal_5fmap_5f_62',['port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a1a31fdb6341bd370b5aac69ef6b4d9e9',1,'systemc_clang::FindNetlist::port_signal_map_'],['../classsystemc__clang_1_1Model.html#a249409917ac83aba57dc8096be773eb7',1,'systemc_clang::Model::port_signal_map_'],['../classsystemc__clang_1_1ModuleInstance.html#a2a3ca1b37000d95cc23895f58a154a6a',1,'systemc_clang::ModuleInstance::port_signal_map_']]], - ['portbinding_63',['PortBinding',['../classsystemc__clang_1_1PortBinding.html',1,'systemc_clang']]], - ['portbinding_64',['portbinding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a79c342a1ba7993b2d45ec5eb2682fe85',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['portbinding_65',['PortBinding',['../classsystemc__clang_1_1PortBinding.html#a408edf12d41406dc7d7957b3f975f2b3',1,'systemc_clang::PortBinding']]], - ['portbinding_2eh_66',['PortBinding.h',['../PortBinding_8h.html',1,'']]], - ['portbindinglist_67',['portbindinglist',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a4804c93d492effa8747e15a7f37131a3',1,'parselib.transforms.port_expansion.PortExpansion.portbindinglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3c672f24c2f7815e6585a3e2a9149ab',1,'parselib.transforms.typedef_expansion.TypedefExpansion.portbindinglist()']]], - ['portbindingmaptype_68',['portBindingMapType',['../classsystemc__clang_1_1ModuleInstance.html#a8fc3d86b879f530c74b1de52d7fe1e65',1,'systemc_clang::ModuleInstance']]], - ['portbindingpairtype_69',['portBindingPairType',['../classsystemc__clang_1_1ModuleInstance.html#a5c80f5683875180d6854faa1c7c958da',1,'systemc_clang::ModuleInstance']]], - ['portdecl_70',['PortDecl',['../classsystemc__clang_1_1PortDecl.html',1,'systemc_clang::PortDecl'],['../classsystemc__clang_1_1PortDecl.html#af53768c4cd2985ef74dfc9074d6a73f9',1,'systemc_clang::PortDecl::PortDecl()'],['../classsystemc__clang_1_1PortDecl.html#af5949460ace7adc49920708e8744e91f',1,'systemc_clang::PortDecl::PortDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1PortDecl.html#ac8186645d36c62a160581e312f61c0f8',1,'systemc_clang::PortDecl::PortDecl(const std::string &, const clang::Decl *, FindTemplateTypes *)'],['../classsystemc__clang_1_1PortDecl.html#a70a494619e7316ba9a33cfa6318b5749',1,'systemc_clang::PortDecl::PortDecl(const PortDecl &)']]], - ['portdecl_2ecpp_71',['PortDecl.cpp',['../PortDecl_8cpp.html',1,'']]], - ['portdecl_2eh_72',['PortDecl.h',['../PortDecl_8h.html',1,'']]], - ['portdecltype_73',['portdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a40d0b70e7e7b4c15171d00e1b35449ac',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.portdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a1997010fd1d78208b2558695833486ce',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.portdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3153dc11c1c6dabe273524ba1ee9211c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.portdecltype()']]], - ['portexpansion_74',['PortExpansion',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html',1,'parselib::transforms::port_expansion']]], - ['portmaptype_75',['portMapType',['../classsystemc__clang_1_1ModuleInstance.html#ae5e4d7d956225b28aac30182a5649f84',1,'systemc_clang::ModuleInstance']]], - ['portmatcher_76',['PortMatcher',['../classsc__ast__matchers_1_1PortMatcher.html',1,'sc_ast_matchers::PortMatcher'],['../classsc__ast__matchers_1_1PortMatcher.html#a1bd74e34abdc8377be310d1f1580fc05',1,'sc_ast_matchers::PortMatcher::PortMatcher()']]], - ['portmatcher_2eh_77',['PortMatcher.h',['../PortMatcher_8h.html',1,'']]], - ['portnamematcher_78',['portNameMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#aa216994927873cee14ae2d889fb6f382',1,'sc_ast_matchers::PortMatcher']]], - ['portsignalmaptype_79',['portSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#a9f9a1a9b49e67f0d8861887ccbdabc01',1,'systemc_clang::FindNetlist::portSignalMapType'],['../classsystemc__clang_1_1ModuleInstance.html#ab9826602b77bf4d1b56a2f9dac9fff52',1,'systemc_clang::ModuleInstance::portSignalMapType']]], - ['portsignalpairtype_80',['portSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a149fe83ade7e3468bc49ae42dee28a46',1,'systemc_clang::FindNetlist::portSignalPairType'],['../classsystemc__clang_1_1ModuleInstance.html#a225eb7d03ade18036aa5700538476541',1,'systemc_clang::ModuleInstance::portSignalPairType']]], - ['porttype_81',['PortType',['../classsystemc__clang_1_1ModuleInstance.html#a2c28ab5fe658b9833300e3d979912f42',1,'systemc_clang::ModuleInstance']]], - ['pos_5f_82',['pos_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#ae1b9d5be9e21bb0bb532efa75c561512',1,'systemc_clang::Tree::const_dft_iterator::pos_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ab343ecc0095f49f60b728bd353bd8fc5',1,'systemc_clang::Tree::dft_iterator::pos_']]], - ['postfire_83',['postFire',['../classSAPlugin.html#a0b06d21eea788c4434ce912662d4c1e6',1,'SAPlugin::postFire()'],['../classTimeAnalysis.html#adc50a7dbdbd75742d6da224d6e9c3596',1,'TimeAnalysis::postFire()'],['../classsystemc__clang_1_1SystemCConsumer.html#a5abaaf14db3be571b79714eac76737bc',1,'systemc_clang::SystemCConsumer::postFire()'],['../classsystemc__hdl_1_1HDLMain.html#a9ffb914cdea1fd4e797001a34ba8e2e4',1,'systemc_hdl::HDLMain::postFire()']]], - ['predecessors_5f_84',['predecessors_',['../classsystemc__clang_1_1SplitCFGBlock.html#a44eb6a516f849cc6714454dcb0a0a732',1,'systemc_clang::SplitCFGBlock']]], - ['prefire_85',['preFire',['../classsystemc__clang_1_1SystemCConsumer.html#a7ecea0031ec9e348f9054123bb84a0e8',1,'systemc_clang::SystemCConsumer']]], - ['prefix_86',['prefix',['../classhnode_1_1name__serve.html#a7e072d7ea45dbde30c7d005750ada345',1,'hnode::name_serve::prefix'],['../classparselib_1_1primitives_1_1TypeContext.html#a4249f6dbbfeb1e5edf12228744de0ad0',1,'parselib.primitives.TypeContext.prefix']]], - ['preparepathinfo_87',['preparePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a6d5e3585f024298e8618e24e40474721',1,'systemc_clang::SplitCFG']]], - ['prevardecl_88',['prevardecl',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aa297a6ffa32d5b966570d44f35094507',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.prevardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa768a5b100c6fb9ed672154863e368a0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.prevardecl()']]], - ['primitive_89',['Primitive',['../classparselib_1_1primitives_1_1Primitive.html',1,'parselib::primitives']]], - ['primitive_5ftype_5fnames_90',['primitive_type_names',['../classparselib_1_1primitives_1_1Primitive.html#af371635dccfb2a6eaf6a5ffd19cf1acb',1,'parselib::primitives::Primitive']]], - ['primitives_2epy_91',['primitives.py',['../primitives_8py.html',1,'']]], - ['print_92',['print',['../classHDLType.html#a4d98fa7cfaf54532eaa2d4a588e3d658',1,'HDLType::print(llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)'],['../classHDLType.html#a2e5d07939e3e11ff552f4b7679fefcae',1,'HDLType::print()'],['../classhnode_1_1hNode.html#af2caf10bac111af51d09b98b302ec40c',1,'hnode::hNode::print()'],['../classhnode_1_1newname__map__t.html#adbf04ee5674001add48ca37fe0ab6d44',1,'hnode::newname_map_t::print()'],['../classhnode_1_1hfunc__name__map__t.html#abe81f79be275e09d357b0c7a0618b642',1,'hnode::hfunc_name_map_t::print()']]], - ['printopc_93',['printopc',['../classhnode_1_1hNode.html#a5218144f0be6b3190e69a32fbf007ee4',1,'hnode::hNode']]], - ['printtemplatearguments_94',['printTemplateArguments',['../classsystemc__clang_1_1FindTemplateTypes.html#a3a30cd15d94fae87ef51731f9b720b91',1,'systemc_clang::FindTemplateTypes::printTemplateArguments()'],['../classsc__ast__matchers_1_1PortMatcher.html#a20ca4349a2d84d35992a77ad30805430',1,'sc_ast_matchers::PortMatcher::printTemplateArguments()']]], - ['proc_5ftype_5f_95',['proc_type_',['../classsystemc__clang_1_1FindEntryFunctions.html#a1e84094f47e97c948c674a631a74b6f6',1,'systemc_clang::FindEntryFunctions']]], - ['process_5fhandle_5f_96',['process_handle_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a5c7944996435b7e2b8e29f6465723119',1,'sc_ast_matchers::SensitivityMatcher']]], - ['process_5fmap_5f_97',['process_map_',['../classsystemc__clang_1_1ModuleInstance.html#aa99400067cfaa6d38bb63f62bf785e1d',1,'systemc_clang::ModuleInstance']]], - ['process_5fme_5f_98',['process_me_',['../classsystemc__clang_1_1FindEntryFunctions.html#a31a70993893595f2c1ccb0aca4ce9c0d',1,'systemc_clang::FindEntryFunctions']]], - ['process_5ftype_99',['PROCESS_TYPE',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138',1,'systemc_clang']]], - ['process_5ftype_5f_100',['process_type_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a357389b54a1919c435f03f81f4478f51',1,'systemc_clang::EntryFunctionContainer::process_type_'],['../classsystemc__clang_1_1ProcessDecl.html#a1fcc5baea64e5913f6b4903c734b1a10',1,'systemc_clang::ProcessDecl::process_type_']]], - ['processbb_101',['ProcessBB',['../classsystemc__hdl_1_1HDLThread.html#ac4632de894e9f63e61f3977104b9efc8',1,'systemc_hdl::HDLThread']]], - ['processcxxconstructorhcode_102',['ProcessCXXConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a336155f74c106eeccd2a05810fe5ca10',1,'systemc_hdl::HDLConstructorHcode']]], - ['processdecl_103',['ProcessDecl',['../classsystemc__clang_1_1ProcessDecl.html',1,'systemc_clang::ProcessDecl'],['../classsystemc__clang_1_1ProcessDecl.html#a0168c6643f9f020a5c3d4c245d1b1699',1,'systemc_clang::ProcessDecl::ProcessDecl(std::string process_type, std::string entry_name, clang::CXXMethodDecl *entry_method_decl, EntryFunctionContainer *entry_fn)'],['../classsystemc__clang_1_1ProcessDecl.html#a0c271d9e309ae525b2e125e2d67dc609',1,'systemc_clang::ProcessDecl::ProcessDecl(const ProcessDecl &)']]], - ['processdecl_2ecpp_104',['ProcessDecl.cpp',['../ProcessDecl_8cpp.html',1,'']]], - ['processdecl_2eh_105',['ProcessDecl.h',['../ProcessDecl_8h.html',1,'']]], - ['processdeclstmt_106',['ProcessDeclStmt',['../classsystemc__hdl_1_1HDLThread.html#afb96da810e131453ef19510ae5ec2694',1,'systemc_hdl::HDLThread']]], - ['processhwait_107',['ProcessHWait',['../classsystemc__hdl_1_1HDLThread.html#a73f51ef7aa7c2959c6b62ad110e720f4',1,'systemc_hdl::HDLThread']]], - ['processinstancecxxdecls_108',['processInstanceCXXDecls',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a5e88cf084dca7917cf10d50f2d02490d',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['processmaptype_109',['processMapType',['../classsystemc__clang_1_1ModuleInstance.html#aa971eba18c75ee5a38fcfc9739bbf9a5',1,'systemc_clang::ModuleInstance']]], - ['processnetlist_110',['processNetlist',['../classsystemc__clang_1_1SystemCConsumer.html#abae8e220b87934fdbec5d0e9ee61e801',1,'systemc_clang::SystemCConsumer']]], - ['processpairtype_111',['processPairType',['../classsystemc__clang_1_1ModuleInstance.html#a4674bdaba29e097bef92dccd45888fdd',1,'systemc_clang::ModuleInstance']]], - ['processsplitgraphblock_112',['ProcessSplitGraphBlock',['../classsystemc__hdl_1_1HDLThread.html#a80bd343206ae40c8604b173ac28fc0c7',1,'systemc_hdl::HDLThread']]], - ['processsplitgraphgroup_113',['ProcessSplitGraphGroup',['../classsystemc__hdl_1_1HDLThread.html#a07c89c14c2e225890c0fb2a1e5edf1af',1,'systemc_hdl::HDLThread']]], - ['processswitchcase_114',['ProcessSwitchCase',['../classsystemc__hdl_1_1HDLBody.html#abc6014214ed2dc52d3e7d28188387112',1,'systemc_hdl::HDLBody']]], - ['processvardecl_115',['ProcessVarDecl',['../classsystemc__hdl_1_1HDLBody.html#a55042a90189466a86766cfb296246ae3',1,'systemc_hdl::HDLBody']]], - ['processvarnamestub_116',['ProcessVarNameStub',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html',1,'parselib::transforms::name_stub']]], - ['processwaiteventmaptype_117',['processWaitEventMapType',['../classsystemc__clang_1_1FindWait.html#aace39df59ecd7e78771ebc29d6a606c5',1,'systemc_clang::FindWait']]], - ['processwaiteventpairtype_118',['processWaitEventPairType',['../classsystemc__clang_1_1FindWait.html#a0d237f561a7789c14d5d1774a6d8e000',1,'systemc_clang::FindWait']]], - ['push_5fcurrent_5fscope_5ftype_119',['push_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adf7e9c6b0f27af52e84c767769ac76f2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['push_5findent_120',['push_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a67e34e398e448c92ec1616cbdcbfff3c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['push_5fscope_121',['push_scope',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#aa8079738a54d36d9ed37af43194b212c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['pushrange_122',['PushRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a346a0da5c4e787647adc16e63f527640',1,'systemc_hdl::HDLConstructorHcode']]] + ['parselib_3a_3atransforms_3a_3ainterface_5fgeneration_18',['interface_generation',['../namespaceparselib_1_1transforms_1_1interface__generation.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aliteral_5fexpansion_19',['literal_expansion',['../namespaceparselib_1_1transforms_1_1literal__expansion.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aname_5fstub_20',['name_stub',['../namespaceparselib_1_1transforms_1_1name__stub.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3anode_21',['node',['../namespaceparselib_1_1transforms_1_1node.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3anode_5fmerge_22',['node_merge',['../namespaceparselib_1_1transforms_1_1node__merge.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3anode_5fmovement_23',['node_movement',['../namespaceparselib_1_1transforms_1_1node__movement.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3apasses_24',['passes',['../namespaceparselib_1_1transforms_1_1passes.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aport_5fexpansion_25',['port_expansion',['../namespaceparselib_1_1transforms_1_1port__expansion.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aportbinding_5frecollect_26',['portbinding_recollect',['../namespaceparselib_1_1transforms_1_1portbinding__recollect.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3areorder_5fmod_5finit_5fblock_27',['reorder_mod_init_block',['../namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3asensevar_5fmovement_28',['sensevar_movement',['../namespaceparselib_1_1transforms_1_1sensevar__movement.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aslice_5fmerge_29',['slice_merge',['../namespaceparselib_1_1transforms_1_1slice__merge.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3asort_5fvar_5fdecl_30',['sort_var_decl',['../namespaceparselib_1_1transforms_1_1sort__var__decl.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3astructure_5fcollector_31',['structure_collector',['../namespaceparselib_1_1transforms_1_1structure__collector.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atop_5fdown_32',['top_down',['../namespaceparselib_1_1transforms_1_1top__down.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atype_5fcollector_33',['type_collector',['../namespaceparselib_1_1transforms_1_1type__collector.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atype_5fnode_34',['type_node',['../namespaceparselib_1_1transforms_1_1type__node.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atypedef_5fexpansion_35',['typedef_expansion',['../namespaceparselib_1_1transforms_1_1typedef__expansion.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atypedef_5ffilter_36',['typedef_filter',['../namespaceparselib_1_1transforms_1_1typedef__filter.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3averilog_5ftranlation_37',['verilog_tranlation',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html',1,'parselib::transforms']]], + ['parselib_3a_3autils_38',['utils',['../namespaceparselib_1_1utils.html',1,'parselib']]], + ['parser_39',['parser',['../namespacesystemc-clang.html#ab2bb47e81abd0921c92a259e649f3bdb',1,'systemc-clang']]], + ['parser_20for_20systemc_20constructs_20built_20using_20clang_40',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['parsetemplatetype_41',['parseTemplateType',['../classsc__ast__matchers_1_1PortMatcher.html#ac537904be7197f770dfcc317481cc624',1,'sc_ast_matchers::PortMatcher']]], + ['parsevardecl_42',['parseVarDecl',['../classsc__ast__matchers_1_1InstanceMatcher.html#a770fd63f4e6a2ae106fda6d1d91f590e',1,'sc_ast_matchers::InstanceMatcher']]], + ['pass_5f_43',['pass_',['../classsystemc__clang_1_1FindConstructor.html#a05c3661a0c992c55af89336c3c00eb61',1,'systemc_clang::FindConstructor::pass_'],['../classsystemc__clang_1_1FindEntryFunctions.html#adefc3c1a7fc8504ac7aea94b62c16db3',1,'systemc_clang::FindEntryFunctions::pass_']]], + ['passes_2epy_44',['passes.py',['../passes_8py.html',1,'']]], + ['path_5fidx_5f_45',['path_idx_',['../structsystemc__clang_1_1SupplementaryInfo.html#a43dbad254d32317c2d01cbf520dcc79a',1,'systemc_clang::SupplementaryInfo::path_idx_'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4ca1bb929c1eb90aab3bba54aae32abd',1,'systemc_clang::SplitCFGPathInfo::path_idx_']]], + ['path_5finfo_5f_46',['path_info_',['../classsystemc__clang_1_1SplitCFG.html#aacb9a8b57663904c6113bd4acd6409b0',1,'systemc_clang::SplitCFG']]], + ['pathnodevisited_47',['pathnodevisited',['../classsystemc__hdl_1_1HDLThread.html#abaa5074e36e3b377ee87c85e8efd238e',1,'systemc_hdl::HDLThread']]], + ['paths_5f_48',['paths_',['../classsystemc__clang_1_1SplitCFG.html#a9410d51bd64e5a798ef233f3813cd466',1,'systemc_clang::SplitCFG']]], + ['pluginaction_49',['PluginAction',['../classsystemc__clang_1_1PluginAction.html',1,'systemc_clang::PluginAction'],['../classsystemc__clang_1_1PluginAction.html#a3ddc8af9a5f4c478b1d769232421e266',1,'systemc_clang::PluginAction::PluginAction()']]], + ['pluginaction_2eh_50',['PluginAction.h',['../PluginAction_8h.html',1,'']]], + ['pointer_51',['pointer',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae69795e7ed3813f062e1bc83f6b61c3f',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], + ['pop_5fcurrent_5fscope_5ftype_52',['pop_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad60f537d210f354cb51fecb67a7c69ee',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['pop_5findent_53',['pop_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a37a9476d5ad94010f1b3d2f038455925',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['pop_5fscope_54',['pop_scope',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#abcd60ab649774e55c943e4d8f98b3a7c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['popping_5f_55',['popping_',['../classsystemc__clang_1_1SplitCFG.html#a8aee0b48dc5fe91fefa146f2e4999fe6',1,'systemc_clang::SplitCFG']]], + ['poprange_56',['PopRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#abbad8033124d9af4a8fee96f31a3195f',1,'systemc_hdl::HDLConstructorHcode']]], + ['populateargmap_57',['populateArgMap',['../classsystemc__clang_1_1NotifyContainer.html#a57f0ca66d08e1507e0dd51e1dad1eaa8',1,'systemc_clang::NotifyContainer::populateArgMap()'],['../classsystemc__clang_1_1WaitContainer.html#ab7253a21f5687c3e20c269377698dee8',1,'systemc_clang::WaitContainer::populateArgMap()']]], + ['populatenestedmodules_58',['populateNestedModules',['../classsystemc__clang_1_1Model.html#a1d873012ddb4bcb559dfbc08551d08ca',1,'systemc_clang::Model']]], + ['port_5fbinding_5fmodule_59',['port_binding_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ace54d197952148186f3e9f4973847700',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['port_5fbindings_60',['port_bindings',['../classparselib_1_1primitives_1_1vmodule.html#a9151fa6eaaa337df4058ffc371bb711b',1,'parselib::primitives::vmodule']]], + ['port_5fbindings_5f_61',['port_bindings_',['../classsystemc__clang_1_1ModuleInstance.html#a6ed65413c2d02d22276cdc23688f4134',1,'systemc_clang::ModuleInstance']]], + ['port_5fdecl_5finst_5farg_62',['port_decl_inst_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#abb6e14b4daffc2dd657ceb786e3037d3',1,'parselib::transforms::verilog_tranlation']]], + ['port_5fexpansion_2epy_63',['port_expansion.py',['../port__expansion_8py.html',1,'']]], + ['port_5fmeta_64',['port_meta',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a7ca654fcb267c350d4572cc1c1b369b5',1,'parselib.transforms.interface_generation.InterfaceReplacement.port_meta'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a0febdb303575d09d6632631beba576d6',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.port_meta']]], + ['port_5fname_5f_65',['port_name_',['../classsystemc__clang_1_1PortDecl.html#a03d8aad20ac4114a3170bd6c6173b312',1,'systemc_clang::PortDecl']]], + ['port_5fsignal_5finstance_5fmap_5f_66',['port_signal_instance_map_',['../classsystemc__clang_1_1Model.html#a6b9d98d87c5224778046c38894ba98a3',1,'systemc_clang::Model']]], + ['port_5fsignal_5fmap_5f_67',['port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a1a31fdb6341bd370b5aac69ef6b4d9e9',1,'systemc_clang::FindNetlist::port_signal_map_'],['../classsystemc__clang_1_1Model.html#a249409917ac83aba57dc8096be773eb7',1,'systemc_clang::Model::port_signal_map_'],['../classsystemc__clang_1_1ModuleInstance.html#a2a3ca1b37000d95cc23895f58a154a6a',1,'systemc_clang::ModuleInstance::port_signal_map_']]], + ['portbinding_68',['PortBinding',['../classsystemc__clang_1_1PortBinding.html',1,'systemc_clang::PortBinding'],['../classsystemc__clang_1_1PortBinding.html#a408edf12d41406dc7d7957b3f975f2b3',1,'systemc_clang::PortBinding::PortBinding()']]], + ['portbinding_69',['portbinding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a79c342a1ba7993b2d45ec5eb2682fe85',1,'parselib.transforms.literal_expansion.LiteralExpansion.portbinding()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ae54b09d8e11577935ddf5b97a216e4c4',1,'parselib.transforms.port_expansion.PortExpansion.portbinding()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a133949c9cf9b2329613707e6208fbe65',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.portbinding()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa11f5f44c907346ef4d53ad8b77c5373',1,'parselib.transforms.typedef_expansion.TypedefExpansion.portbinding()']]], + ['portbinding_2eh_70',['PortBinding.h',['../PortBinding_8h.html',1,'']]], + ['portbinding_5frecollect_2epy_71',['portbinding_recollect.py',['../portbinding__recollect_8py.html',1,'']]], + ['portbindinglist_72',['portbindinglist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a1a45cdf5a701fa4ec7df7bc550d9f4cc',1,'parselib.transforms.interface_generation.InterfaceReplacement.portbindinglist()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#aeaa988fdb51e90850e90d359497fac63',1,'parselib.transforms.literal_expansion.LiteralExpansion2.portbindinglist()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a4804c93d492effa8747e15a7f37131a3',1,'parselib.transforms.port_expansion.PortExpansion.portbindinglist()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html#a024df33040283a8d7614c302635f40bd',1,'parselib.transforms.portbinding_recollect.PortbindingPrecheck.portbindinglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3c672f24c2f7815e6585a3e2a9149ab',1,'parselib.transforms.typedef_expansion.TypedefExpansion.portbindinglist()']]], + ['portbindingmaptype_73',['portBindingMapType',['../classsystemc__clang_1_1ModuleInstance.html#a4c30c001a2431381a61304ac0e605185',1,'systemc_clang::ModuleInstance']]], + ['portbindingpairtype_74',['portBindingPairType',['../classsystemc__clang_1_1ModuleInstance.html#ac36ff783b44189fe9f100261d4cfd177',1,'systemc_clang::ModuleInstance']]], + ['portbindingprecheck_75',['PortbindingPrecheck',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html',1,'parselib::transforms::portbinding_recollect']]], + ['portbindingrecollect_76',['PortbindingRecollect',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html',1,'parselib::transforms::portbinding_recollect']]], + ['portdecl_77',['PortDecl',['../classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html',1,'parselib.transforms.interface_generation.PortDecl'],['../classsystemc__clang_1_1PortDecl.html',1,'systemc_clang::PortDecl'],['../classsystemc__clang_1_1PortDecl.html#af53768c4cd2985ef74dfc9074d6a73f9',1,'systemc_clang::PortDecl::PortDecl()'],['../classsystemc__clang_1_1PortDecl.html#af5949460ace7adc49920708e8744e91f',1,'systemc_clang::PortDecl::PortDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1PortDecl.html#ac8186645d36c62a160581e312f61c0f8',1,'systemc_clang::PortDecl::PortDecl(const std::string &, const clang::Decl *, FindTemplateTypes *)'],['../classsystemc__clang_1_1PortDecl.html#a70a494619e7316ba9a33cfa6318b5749',1,'systemc_clang::PortDecl::PortDecl(const PortDecl &)']]], + ['portdecl_2ecpp_78',['PortDecl.cpp',['../PortDecl_8cpp.html',1,'']]], + ['portdecl_2eh_79',['PortDecl.h',['../PortDecl_8h.html',1,'']]], + ['portdecltype_80',['portdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a40d0b70e7e7b4c15171d00e1b35449ac',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.portdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a1997010fd1d78208b2558695833486ce',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.portdecltype()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a8e4117d90dbe8d92d4150b5b4a49d7eb',1,'parselib.transforms.interface_generation.InterfaceGeneration.portdecltype()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#a3923da707fc7ce5469fe4915e8a29baa',1,'parselib.transforms.node_movement.ArrayPortMovement.portdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3153dc11c1c6dabe273524ba1ee9211c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.portdecltype()']]], + ['portdecltype_5fto_5fsigdecltype_81',['portdecltype_to_sigdecltype',['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#ad53d0bb4df2f0f2941d17e46b34e5271',1,'parselib::transforms::node_movement::ArrayPortMovement']]], + ['portdirectioncollector_82',['PortDirectionCollector',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html',1,'parselib::transforms::portbinding_recollect']]], + ['portexpansion_83',['PortExpansion',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html',1,'parselib::transforms::port_expansion']]], + ['portmaptype_84',['portMapType',['../classsystemc__clang_1_1ModuleInstance.html#a43065af1cf3dbca858fcea8b5460242a',1,'systemc_clang::ModuleInstance']]], + ['portmatcher_85',['PortMatcher',['../classsc__ast__matchers_1_1PortMatcher.html',1,'sc_ast_matchers::PortMatcher'],['../classsc__ast__matchers_1_1PortMatcher.html#a1bd74e34abdc8377be310d1f1580fc05',1,'sc_ast_matchers::PortMatcher::PortMatcher()']]], + ['portmatcher_2eh_86',['PortMatcher.h',['../PortMatcher_8h.html',1,'']]], + ['portnamematcher_87',['portNameMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#aa216994927873cee14ae2d889fb6f382',1,'sc_ast_matchers::PortMatcher']]], + ['ports_88',['ports',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a144c00cb1715a1f20d6855ee13527923',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.ports'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#ae17a969cac0ba237dc5b7fcadd03c76d',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.ports']]], + ['portsignalmaptype_89',['portSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#af163dcf711de95ddaee0fa91bab5ae13',1,'systemc_clang::FindNetlist::portSignalMapType'],['../classsystemc__clang_1_1ModuleInstance.html#a7475879f3eda39cc0b0ed97e9e9d0f09',1,'systemc_clang::ModuleInstance::portSignalMapType']]], + ['portsignalpairtype_90',['portSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a470e9d798ad64a2c09b3c9ed5e668536',1,'systemc_clang::FindNetlist::portSignalPairType'],['../classsystemc__clang_1_1ModuleInstance.html#a0f307a74fcdec068b15740454fd9f95c',1,'systemc_clang::ModuleInstance::portSignalPairType']]], + ['porttype_91',['PortType',['../classsystemc__clang_1_1ModuleInstance.html#a6c8d033409a538c0496ddf043aabd7a6',1,'systemc_clang::ModuleInstance']]], + ['pos_5f_92',['pos_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#ae1b9d5be9e21bb0bb532efa75c561512',1,'systemc_clang::Tree::const_dft_iterator::pos_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ab343ecc0095f49f60b728bd353bd8fc5',1,'systemc_clang::Tree::dft_iterator::pos_']]], + ['postfire_93',['postFire',['../classSAPlugin.html#a0b06d21eea788c4434ce912662d4c1e6',1,'SAPlugin::postFire()'],['../classTimeAnalysis.html#adc50a7dbdbd75742d6da224d6e9c3596',1,'TimeAnalysis::postFire()'],['../classsystemc__clang_1_1SystemCConsumer.html#a5abaaf14db3be571b79714eac76737bc',1,'systemc_clang::SystemCConsumer::postFire()'],['../classsystemc__hdl_1_1HDLMain.html#a9ffb914cdea1fd4e797001a34ba8e2e4',1,'systemc_hdl::HDLMain::postFire()']]], + ['predecessors_5f_94',['predecessors_',['../classsystemc__clang_1_1SplitCFGBlock.html#a44eb6a516f849cc6714454dcb0a0a732',1,'systemc_clang::SplitCFGBlock']]], + ['prefire_95',['preFire',['../classsystemc__clang_1_1SystemCConsumer.html#a7ecea0031ec9e348f9054123bb84a0e8',1,'systemc_clang::SystemCConsumer']]], + ['prefix_96',['prefix',['../classhnode_1_1name__serve.html#a7e072d7ea45dbde30c7d005750ada345',1,'hnode::name_serve::prefix'],['../classparselib_1_1primitives_1_1TypeContext.html#a4249f6dbbfeb1e5edf12228744de0ad0',1,'parselib.primitives.TypeContext.prefix']]], + ['preparepathinfo_97',['preparePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a6d5e3585f024298e8618e24e40474721',1,'systemc_clang::SplitCFG']]], + ['prettyprintmodule_98',['PrettyPrintModule',['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html',1,'parselib::transforms::passes']]], + ['prevardecl_99',['prevardecl',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aa297a6ffa32d5b966570d44f35094507',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.prevardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa768a5b100c6fb9ed672154863e368a0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.prevardecl()']]], + ['primitive_100',['Primitive',['../classparselib_1_1primitives_1_1Primitive.html',1,'parselib::primitives']]], + ['primitive_5ftype_5fnames_101',['primitive_type_names',['../classparselib_1_1primitives_1_1Primitive.html#af371635dccfb2a6eaf6a5ffd19cf1acb',1,'parselib::primitives::Primitive']]], + ['primitives_2epy_102',['primitives.py',['../primitives_8py.html',1,'']]], + ['print_103',['print',['../classHDLType.html#a4d98fa7cfaf54532eaa2d4a588e3d658',1,'HDLType::print(llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)'],['../classHDLType.html#a2e5d07939e3e11ff552f4b7679fefcae',1,'HDLType::print()'],['../classhnode_1_1hNode.html#af2caf10bac111af51d09b98b302ec40c',1,'hnode::hNode::print()'],['../classhnode_1_1newname__map__t.html#adbf04ee5674001add48ca37fe0ab6d44',1,'hnode::newname_map_t::print()'],['../classhnode_1_1hfunc__name__map__t.html#abe81f79be275e09d357b0c7a0618b642',1,'hnode::hfunc_name_map_t::print()']]], + ['printopc_104',['printopc',['../classhnode_1_1hNode.html#a5218144f0be6b3190e69a32fbf007ee4',1,'hnode::hNode']]], + ['printtemplatearguments_105',['printTemplateArguments',['../classsystemc__clang_1_1FindTemplateTypes.html#a3a30cd15d94fae87ef51731f9b720b91',1,'systemc_clang::FindTemplateTypes::printTemplateArguments()'],['../classsc__ast__matchers_1_1PortMatcher.html#a20ca4349a2d84d35992a77ad30805430',1,'sc_ast_matchers::PortMatcher::printTemplateArguments()']]], + ['proc_5ftype_5f_106',['proc_type_',['../classsystemc__clang_1_1FindEntryFunctions.html#a1e84094f47e97c948c674a631a74b6f6',1,'systemc_clang::FindEntryFunctions']]], + ['process_5fhandle_5f_107',['process_handle_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a5c7944996435b7e2b8e29f6465723119',1,'sc_ast_matchers::SensitivityMatcher']]], + ['process_5fmap_5f_108',['process_map_',['../classsystemc__clang_1_1ModuleInstance.html#aa99400067cfaa6d38bb63f62bf785e1d',1,'systemc_clang::ModuleInstance']]], + ['process_5fme_5f_109',['process_me_',['../classsystemc__clang_1_1FindEntryFunctions.html#a31a70993893595f2c1ccb0aca4ce9c0d',1,'systemc_clang::FindEntryFunctions']]], + ['process_5ftype_110',['PROCESS_TYPE',['../namespacesystemc__clang.html#aee628e0c13dbaaeb824bd830dc47a138',1,'systemc_clang']]], + ['process_5ftype_5f_111',['process_type_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a357389b54a1919c435f03f81f4478f51',1,'systemc_clang::EntryFunctionContainer::process_type_'],['../classsystemc__clang_1_1ProcessDecl.html#a1fcc5baea64e5913f6b4903c734b1a10',1,'systemc_clang::ProcessDecl::process_type_']]], + ['processbb_112',['ProcessBB',['../classsystemc__hdl_1_1HDLThread.html#ac4632de894e9f63e61f3977104b9efc8',1,'systemc_hdl::HDLThread']]], + ['processcxxconstructorhcode_113',['ProcessCXXConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a336155f74c106eeccd2a05810fe5ca10',1,'systemc_hdl::HDLConstructorHcode']]], + ['processdecl_114',['ProcessDecl',['../classsystemc__clang_1_1ProcessDecl.html',1,'systemc_clang::ProcessDecl'],['../classsystemc__clang_1_1ProcessDecl.html#a0168c6643f9f020a5c3d4c245d1b1699',1,'systemc_clang::ProcessDecl::ProcessDecl(std::string process_type, std::string entry_name, clang::CXXMethodDecl *entry_method_decl, EntryFunctionContainer *entry_fn)'],['../classsystemc__clang_1_1ProcessDecl.html#a0c271d9e309ae525b2e125e2d67dc609',1,'systemc_clang::ProcessDecl::ProcessDecl(const ProcessDecl &)']]], + ['processdecl_2ecpp_115',['ProcessDecl.cpp',['../ProcessDecl_8cpp.html',1,'']]], + ['processdecl_2eh_116',['ProcessDecl.h',['../ProcessDecl_8h.html',1,'']]], + ['processdeclstmt_117',['ProcessDeclStmt',['../classsystemc__hdl_1_1HDLThread.html#afb96da810e131453ef19510ae5ec2694',1,'systemc_hdl::HDLThread']]], + ['processes_118',['processes',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a2e4e572b7cd2be78f98d63ebad891b46',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['processhwait_119',['ProcessHWait',['../classsystemc__hdl_1_1HDLThread.html#a73f51ef7aa7c2959c6b62ad110e720f4',1,'systemc_hdl::HDLThread']]], + ['processinstancecxxdecls_120',['processInstanceCXXDecls',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a5e88cf084dca7917cf10d50f2d02490d',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['processmaptype_121',['processMapType',['../classsystemc__clang_1_1ModuleInstance.html#ab80cde7544ec4f1ad5833f56c37d2002',1,'systemc_clang::ModuleInstance']]], + ['processnetlist_122',['processNetlist',['../classsystemc__clang_1_1SystemCConsumer.html#abae8e220b87934fdbec5d0e9ee61e801',1,'systemc_clang::SystemCConsumer']]], + ['processpairtype_123',['processPairType',['../classsystemc__clang_1_1ModuleInstance.html#a1e9c3a161b84487e423f9bc12e1d9160',1,'systemc_clang::ModuleInstance']]], + ['processsplitgraphblock_124',['ProcessSplitGraphBlock',['../classsystemc__hdl_1_1HDLThread.html#a80bd343206ae40c8604b173ac28fc0c7',1,'systemc_hdl::HDLThread']]], + ['processsplitgraphgroup_125',['ProcessSplitGraphGroup',['../classsystemc__hdl_1_1HDLThread.html#a07c89c14c2e225890c0fb2a1e5edf1af',1,'systemc_hdl::HDLThread']]], + ['processswitchcase_126',['ProcessSwitchCase',['../classsystemc__hdl_1_1HDLBody.html#abc6014214ed2dc52d3e7d28188387112',1,'systemc_hdl::HDLBody']]], + ['processvardecl_127',['ProcessVarDecl',['../classsystemc__hdl_1_1HDLBody.html#a55042a90189466a86766cfb296246ae3',1,'systemc_hdl::HDLBody']]], + ['processvarnamestub_128',['ProcessVarNameStub',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html',1,'parselib::transforms::name_stub']]], + ['processwaiteventmaptype_129',['processWaitEventMapType',['../classsystemc__clang_1_1FindWait.html#a6dd8a7fda6a5cea128ee24ab80e15acf',1,'systemc_clang::FindWait']]], + ['processwaiteventpairtype_130',['processWaitEventPairType',['../classsystemc__clang_1_1FindWait.html#a7db454c7a61e95d0e839424a46d91473',1,'systemc_clang::FindWait']]], + ['push_5fcurrent_5fscope_5ftype_131',['push_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adf7e9c6b0f27af52e84c767769ac76f2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['push_5findent_132',['push_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a67e34e398e448c92ec1616cbdcbfff3c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['push_5fscope_133',['push_scope',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#aa8079738a54d36d9ed37af43194b212c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['pushrange_134',['PushRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a346a0da5c4e787647adc16e63f527640',1,'systemc_hdl::HDLConstructorHcode']]] ]; diff --git a/search/classes_1.js b/search/classes_1.js index 208d511b..e3d4ae6f 100644 --- a/search/classes_1.js +++ b/search/classes_1.js @@ -4,5 +4,6 @@ var searchData= ['aliastranslation_1',['AliasTranslation',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html',1,'parselib::transforms::alias_translation']]], ['always_2',['Always',['../classparselib_1_1transforms_1_1node_1_1Always.html',1,'parselib::transforms::node']]], ['array_3',['array',['../classparselib_1_1primitives_1_1array.html',1,'parselib::primitives']]], - ['arrayderef_4',['ArrayDeref',['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html',1,'parselib::transforms::node']]] + ['arrayderef_4',['ArrayDeref',['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html',1,'parselib::transforms::node']]], + ['arrayportmovement_5',['ArrayPortMovement',['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html',1,'parselib::transforms::node_movement']]] ]; diff --git a/search/classes_3.js b/search/classes_3.js index dfbdf5c9..504eb8c9 100644 --- a/search/classes_3.js +++ b/search/classes_3.js @@ -3,14 +3,15 @@ var searchData= ['callercalleematcher_0',['CallerCalleeMatcher',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html',1,'sc_ast_matchers']]], ['commatransformation_1',['CommaTransformation',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html',1,'parselib::transforms::comma_transformation']]], ['const_5fdft_5fiterator_2',['const_dft_iterator',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html',1,'systemc_clang::Tree']]], - ['cppbool_3',['cppbool',['../classparselib_1_1primitives_1_1cppbool.html',1,'parselib::primitives']]], - ['cppchar_4',['cppchar',['../classparselib_1_1primitives_1_1cppchar.html',1,'parselib::primitives']]], - ['cppint_5',['cppint',['../classparselib_1_1primitives_1_1cppint.html',1,'parselib::primitives']]], - ['cpplonglong_6',['cpplonglong',['../classparselib_1_1primitives_1_1cpplonglong.html',1,'parselib::primitives']]], - ['cppshort_7',['cppshort',['../classparselib_1_1primitives_1_1cppshort.html',1,'parselib::primitives']]], - ['cppsignedchar_8',['cppsignedchar',['../classparselib_1_1primitives_1_1cppsignedchar.html',1,'parselib::primitives']]], - ['cppuint_9',['cppuint',['../classparselib_1_1primitives_1_1cppuint.html',1,'parselib::primitives']]], - ['cppulonglong_10',['cppulonglong',['../classparselib_1_1primitives_1_1cppulonglong.html',1,'parselib::primitives']]], - ['cppunsignedchar_11',['cppunsignedchar',['../classparselib_1_1primitives_1_1cppunsignedchar.html',1,'parselib::primitives']]], - ['cppushort_12',['cppushort',['../classparselib_1_1primitives_1_1cppushort.html',1,'parselib::primitives']]] + ['contextmanager_3',['ContextManager',['../classparselib_1_1utils_1_1ContextManager.html',1,'parselib::utils']]], + ['cppbool_4',['cppbool',['../classparselib_1_1primitives_1_1cppbool.html',1,'parselib::primitives']]], + ['cppchar_5',['cppchar',['../classparselib_1_1primitives_1_1cppchar.html',1,'parselib::primitives']]], + ['cppint_6',['cppint',['../classparselib_1_1primitives_1_1cppint.html',1,'parselib::primitives']]], + ['cpplonglong_7',['cpplonglong',['../classparselib_1_1primitives_1_1cpplonglong.html',1,'parselib::primitives']]], + ['cppshort_8',['cppshort',['../classparselib_1_1primitives_1_1cppshort.html',1,'parselib::primitives']]], + ['cppsignedchar_9',['cppsignedchar',['../classparselib_1_1primitives_1_1cppsignedchar.html',1,'parselib::primitives']]], + ['cppuint_10',['cppuint',['../classparselib_1_1primitives_1_1cppuint.html',1,'parselib::primitives']]], + ['cppulonglong_11',['cppulonglong',['../classparselib_1_1primitives_1_1cppulonglong.html',1,'parselib::primitives']]], + ['cppunsignedchar_12',['cppunsignedchar',['../classparselib_1_1primitives_1_1cppunsignedchar.html',1,'parselib::primitives']]], + ['cppushort_13',['cppushort',['../classparselib_1_1primitives_1_1cppushort.html',1,'parselib::primitives']]] ]; diff --git a/search/classes_9.js b/search/classes_9.js index add35179..ee8a528a 100644 --- a/search/classes_9.js +++ b/search/classes_9.js @@ -2,6 +2,9 @@ var searchData= [ ['instanceargumentmatcher_0',['InstanceArgumentMatcher',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html',1,'sc_ast_matchers']]], ['instancematcher_1',['InstanceMatcher',['../classsc__ast__matchers_1_1InstanceMatcher.html',1,'sc_ast_matchers']]], - ['interfacedecl_2',['InterfaceDecl',['../classsystemc__clang_1_1InterfaceDecl.html',1,'systemc_clang']]], - ['invalidcommandlineformat_3',['InvalidCommandlineFormat',['../classsystemc-clang_1_1InvalidCommandlineFormat.html',1,'systemc-clang']]] + ['interface_2',['Interface',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html',1,'parselib::transforms::interface_generation']]], + ['interfacedecl_3',['InterfaceDecl',['../classsystemc__clang_1_1InterfaceDecl.html',1,'systemc_clang']]], + ['interfacegeneration_4',['InterfaceGeneration',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html',1,'parselib::transforms::interface_generation']]], + ['interfacereplacement_5',['InterfaceReplacement',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html',1,'parselib::transforms::interface_generation']]], + ['invalidcommandlineformat_6',['InvalidCommandlineFormat',['../classsystemc-clang_1_1InvalidCommandlineFormat.html',1,'systemc-clang']]] ]; diff --git a/search/classes_a.js b/search/classes_a.js index 3f122a9b..6cb8514f 100644 --- a/search/classes_a.js +++ b/search/classes_a.js @@ -1,5 +1,7 @@ var searchData= [ ['literalexpansion_0',['LiteralExpansion',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html',1,'parselib::transforms::literal_expansion']]], - ['long_1',['long',['../classparselib_1_1primitives_1_1long.html',1,'parselib::primitives']]] + ['literalexpansion2_1',['LiteralExpansion2',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html',1,'parselib::transforms::literal_expansion']]], + ['long_2',['long',['../classparselib_1_1primitives_1_1long.html',1,'parselib::primitives']]], + ['lowercomplexport_3',['LowerComplexPort',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html',1,'parselib::transforms::portbinding_recollect']]] ]; diff --git a/search/classes_d.js b/search/classes_d.js index 1be3e0e3..a46296e3 100644 --- a/search/classes_d.js +++ b/search/classes_d.js @@ -2,10 +2,14 @@ var searchData= [ ['pluginaction_0',['PluginAction',['../classsystemc__clang_1_1PluginAction.html',1,'systemc_clang']]], ['portbinding_1',['PortBinding',['../classsystemc__clang_1_1PortBinding.html',1,'systemc_clang']]], - ['portdecl_2',['PortDecl',['../classsystemc__clang_1_1PortDecl.html',1,'systemc_clang']]], - ['portexpansion_3',['PortExpansion',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html',1,'parselib::transforms::port_expansion']]], - ['portmatcher_4',['PortMatcher',['../classsc__ast__matchers_1_1PortMatcher.html',1,'sc_ast_matchers']]], - ['primitive_5',['Primitive',['../classparselib_1_1primitives_1_1Primitive.html',1,'parselib::primitives']]], - ['processdecl_6',['ProcessDecl',['../classsystemc__clang_1_1ProcessDecl.html',1,'systemc_clang']]], - ['processvarnamestub_7',['ProcessVarNameStub',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html',1,'parselib::transforms::name_stub']]] + ['portbindingprecheck_2',['PortbindingPrecheck',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html',1,'parselib::transforms::portbinding_recollect']]], + ['portbindingrecollect_3',['PortbindingRecollect',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html',1,'parselib::transforms::portbinding_recollect']]], + ['portdecl_4',['PortDecl',['../classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html',1,'parselib.transforms.interface_generation.PortDecl'],['../classsystemc__clang_1_1PortDecl.html',1,'systemc_clang::PortDecl']]], + ['portdirectioncollector_5',['PortDirectionCollector',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html',1,'parselib::transforms::portbinding_recollect']]], + ['portexpansion_6',['PortExpansion',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html',1,'parselib::transforms::port_expansion']]], + ['portmatcher_7',['PortMatcher',['../classsc__ast__matchers_1_1PortMatcher.html',1,'sc_ast_matchers']]], + ['prettyprintmodule_8',['PrettyPrintModule',['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html',1,'parselib::transforms::passes']]], + ['primitive_9',['Primitive',['../classparselib_1_1primitives_1_1Primitive.html',1,'parselib::primitives']]], + ['processdecl_10',['ProcessDecl',['../classsystemc__clang_1_1ProcessDecl.html',1,'systemc_clang']]], + ['processvarnamestub_11',['ProcessVarNameStub',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html',1,'parselib::transforms::name_stub']]] ]; diff --git a/search/classes_f.js b/search/classes_f.js index 50886b41..46c1ab83 100644 --- a/search/classes_f.js +++ b/search/classes_f.js @@ -12,24 +12,25 @@ var searchData= ['sc_5frvd_5fout_9',['sc_rvd_out',['../classparselib_1_1primitives_1_1sc__rvd__out.html',1,'parselib::primitives']]], ['sc_5fsignal_10',['sc_signal',['../classparselib_1_1primitives_1_1sc__signal.html',1,'parselib::primitives']]], ['sc_5fuint_11',['sc_uint',['../classparselib_1_1primitives_1_1sc__uint.html',1,'parselib::primitives']]], - ['sensitiveoperatorcallmatcher_12',['SensitiveOperatorCallMatcher',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html',1,'sc_ast_matchers']]], - ['sensitivitymatcher_13',['SensitivityMatcher',['../classsc__ast__matchers_1_1SensitivityMatcher.html',1,'sc_ast_matchers']]], - ['signaldecl_14',['SignalDecl',['../classsystemc__clang_1_1SignalDecl.html',1,'systemc_clang']]], - ['slicemerge_15',['SliceMerge',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html',1,'parselib::transforms::slice_merge']]], - ['sortvardecl_16',['SortVarDecl',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html',1,'parselib::transforms::sort_var_decl']]], - ['splitcfg_17',['SplitCFG',['../classsystemc__clang_1_1SplitCFG.html',1,'systemc_clang']]], - ['splitcfgblock_18',['SplitCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html',1,'systemc_clang']]], - ['splitcfgpathinfo_19',['SplitCFGPathInfo',['../classsystemc__clang_1_1SplitCFGPathInfo.html',1,'systemc_clang']]], - ['state_20',['State',['../classsystemc__clang_1_1State.html',1,'systemc_clang']]], - ['statement_21',['Statement',['../classparselib_1_1transforms_1_1node_1_1Statement.html',1,'parselib::transforms::node']]], - ['stmtvisitor_22',['StmtVisitor',['../classsystemc__hdl_1_1StmtVisitor.html',1,'systemc_hdl']]], - ['structurecollector_23',['StructureCollector',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html',1,'parselib::transforms::structure_collector']]], - ['successoriterator_24',['SuccessorIterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html',1,'systemc_clang::SplitCFGBlock']]], - ['supplementaryinfo_25',['SupplementaryInfo',['../structsystemc__clang_1_1SupplementaryInfo.html',1,'systemc_clang']]], - ['suscfg_26',['SusCFG',['../classsystemc__clang_1_1SusCFG.html',1,'systemc_clang']]], - ['suspensionautomata_27',['SuspensionAutomata',['../classsystemc__clang_1_1SuspensionAutomata.html',1,'systemc_clang']]], - ['systemcclang_28',['SystemCClang',['../classsystemc-clang_1_1SystemCClang.html',1,'systemc-clang.SystemCClang'],['../classsystemc__clang_1_1SystemCClang.html',1,'systemc_clang::SystemCClang']]], - ['systemcclangaxn_29',['SystemCClangAXN',['../classsystemc__clang_1_1SystemCClangAXN.html',1,'systemc_clang']]], - ['systemcclangfatalerror_30',['SystemCClangFatalError',['../classsystemc-clang_1_1SystemCClangFatalError.html',1,'systemc-clang']]], - ['systemcconsumer_31',['SystemCConsumer',['../classsystemc__clang_1_1SystemCConsumer.html',1,'systemc_clang']]] + ['sensevarmovement_12',['SensevarMovement',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html',1,'parselib::transforms::sensevar_movement']]], + ['sensitiveoperatorcallmatcher_13',['SensitiveOperatorCallMatcher',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html',1,'sc_ast_matchers']]], + ['sensitivitymatcher_14',['SensitivityMatcher',['../classsc__ast__matchers_1_1SensitivityMatcher.html',1,'sc_ast_matchers']]], + ['signaldecl_15',['SignalDecl',['../classsystemc__clang_1_1SignalDecl.html',1,'systemc_clang']]], + ['slicemerge_16',['SliceMerge',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html',1,'parselib::transforms::slice_merge']]], + ['sortvardecl_17',['SortVarDecl',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html',1,'parselib::transforms::sort_var_decl']]], + ['splitcfg_18',['SplitCFG',['../classsystemc__clang_1_1SplitCFG.html',1,'systemc_clang']]], + ['splitcfgblock_19',['SplitCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html',1,'systemc_clang']]], + ['splitcfgpathinfo_20',['SplitCFGPathInfo',['../classsystemc__clang_1_1SplitCFGPathInfo.html',1,'systemc_clang']]], + ['state_21',['State',['../classsystemc__clang_1_1State.html',1,'systemc_clang']]], + ['statement_22',['Statement',['../classparselib_1_1transforms_1_1node_1_1Statement.html',1,'parselib::transforms::node']]], + ['stmtvisitor_23',['StmtVisitor',['../classsystemc__hdl_1_1StmtVisitor.html',1,'systemc_hdl']]], + ['structurecollector_24',['StructureCollector',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html',1,'parselib::transforms::structure_collector']]], + ['successoriterator_25',['SuccessorIterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html',1,'systemc_clang::SplitCFGBlock']]], + ['supplementaryinfo_26',['SupplementaryInfo',['../structsystemc__clang_1_1SupplementaryInfo.html',1,'systemc_clang']]], + ['suscfg_27',['SusCFG',['../classsystemc__clang_1_1SusCFG.html',1,'systemc_clang']]], + ['suspensionautomata_28',['SuspensionAutomata',['../classsystemc__clang_1_1SuspensionAutomata.html',1,'systemc_clang']]], + ['systemcclang_29',['SystemCClang',['../classsystemc-clang_1_1SystemCClang.html',1,'systemc-clang.SystemCClang'],['../classsystemc__clang_1_1SystemCClang.html',1,'systemc_clang::SystemCClang']]], + ['systemcclangaxn_30',['SystemCClangAXN',['../classsystemc__clang_1_1SystemCClangAXN.html',1,'systemc_clang']]], + ['systemcclangfatalerror_31',['SystemCClangFatalError',['../classsystemc-clang_1_1SystemCClangFatalError.html',1,'systemc-clang']]], + ['systemcconsumer_32',['SystemCConsumer',['../classsystemc__clang_1_1SystemCConsumer.html',1,'systemc_clang']]] ]; diff --git a/search/files_7.js b/search/files_7.js index 1c46496d..28b03c1d 100644 --- a/search/files_7.js +++ b/search/files_7.js @@ -1,6 +1,7 @@ var searchData= [ ['instancematcher_2eh_0',['InstanceMatcher.h',['../InstanceMatcher_8h.html',1,'']]], - ['interfacedecl_2ecpp_1',['InterfaceDecl.cpp',['../InterfaceDecl_8cpp.html',1,'']]], - ['interfacedecl_2eh_2',['InterfaceDecl.h',['../InterfaceDecl_8h.html',1,'']]] + ['interface_5fgeneration_2epy_1',['interface_generation.py',['../interface__generation_8py.html',1,'']]], + ['interfacedecl_2ecpp_2',['InterfaceDecl.cpp',['../InterfaceDecl_8cpp.html',1,'']]], + ['interfacedecl_2eh_3',['InterfaceDecl.h',['../InterfaceDecl_8h.html',1,'']]] ]; diff --git a/search/files_b.js b/search/files_b.js index a25ca3ca..4f13d203 100644 --- a/search/files_b.js +++ b/search/files_b.js @@ -4,10 +4,11 @@ var searchData= ['pluginaction_2eh_1',['PluginAction.h',['../PluginAction_8h.html',1,'']]], ['port_5fexpansion_2epy_2',['port_expansion.py',['../port__expansion_8py.html',1,'']]], ['portbinding_2eh_3',['PortBinding.h',['../PortBinding_8h.html',1,'']]], - ['portdecl_2ecpp_4',['PortDecl.cpp',['../PortDecl_8cpp.html',1,'']]], - ['portdecl_2eh_5',['PortDecl.h',['../PortDecl_8h.html',1,'']]], - ['portmatcher_2eh_6',['PortMatcher.h',['../PortMatcher_8h.html',1,'']]], - ['primitives_2epy_7',['primitives.py',['../primitives_8py.html',1,'']]], - ['processdecl_2ecpp_8',['ProcessDecl.cpp',['../ProcessDecl_8cpp.html',1,'']]], - ['processdecl_2eh_9',['ProcessDecl.h',['../ProcessDecl_8h.html',1,'']]] + ['portbinding_5frecollect_2epy_4',['portbinding_recollect.py',['../portbinding__recollect_8py.html',1,'']]], + ['portdecl_2ecpp_5',['PortDecl.cpp',['../PortDecl_8cpp.html',1,'']]], + ['portdecl_2eh_6',['PortDecl.h',['../PortDecl_8h.html',1,'']]], + ['portmatcher_2eh_7',['PortMatcher.h',['../PortMatcher_8h.html',1,'']]], + ['primitives_2epy_8',['primitives.py',['../primitives_8py.html',1,'']]], + ['processdecl_2ecpp_9',['ProcessDecl.cpp',['../ProcessDecl_8cpp.html',1,'']]], + ['processdecl_2eh_10',['ProcessDecl.h',['../ProcessDecl_8h.html',1,'']]] ]; diff --git a/search/files_d.js b/search/files_d.js index 9b3543ac..cf7d4112 100644 --- a/search/files_d.js +++ b/search/files_d.js @@ -1,19 +1,20 @@ var searchData= [ ['saplugin_2eh_0',['SAPlugin.h',['../SAPlugin_8h.html',1,'']]], - ['sensitivitymatcher_2eh_1',['SensitivityMatcher.h',['../SensitivityMatcher_8h.html',1,'']]], - ['signaldecl_2ecpp_2',['SignalDecl.cpp',['../SignalDecl_8cpp.html',1,'']]], - ['signaldecl_2eh_3',['SignalDecl.h',['../SignalDecl_8h.html',1,'']]], - ['slice_5fmerge_2epy_4',['slice_merge.py',['../slice__merge_8py.html',1,'']]], - ['sort_5fvar_5fdecl_2epy_5',['sort_var_decl.py',['../sort__var__decl_8py.html',1,'']]], - ['splitcfg_2ecpp_6',['SplitCFG.cpp',['../SplitCFG_8cpp.html',1,'']]], - ['splitcfg_2eh_7',['SplitCFG.h',['../SplitCFG_8h.html',1,'']]], - ['splitcfgblock_2ecpp_8',['SplitCFGBlock.cpp',['../SplitCFGBlock_8cpp.html',1,'']]], - ['splitcfgblock_2eh_9',['SplitCFGBlock.h',['../SplitCFGBlock_8h.html',1,'']]], - ['structure_5fcollector_2epy_10',['structure_collector.py',['../structure__collector_8py.html',1,'']]], - ['suspensionautomata_2ecpp_11',['SuspensionAutomata.cpp',['../SuspensionAutomata_8cpp.html',1,'']]], - ['suspensionautomata_2eh_12',['SuspensionAutomata.h',['../SuspensionAutomata_8h.html',1,'']]], - ['systemc_2dclang_2epy_13',['systemc-clang.py',['../systemc-clang_8py.html',1,'']]], - ['systemcclang_2ecpp_14',['SystemCClang.cpp',['../SystemCClang_8cpp.html',1,'']]], - ['systemcclang_2eh_15',['SystemCClang.h',['../SystemCClang_8h.html',1,'']]] + ['sensevar_5fmovement_2epy_1',['sensevar_movement.py',['../sensevar__movement_8py.html',1,'']]], + ['sensitivitymatcher_2eh_2',['SensitivityMatcher.h',['../SensitivityMatcher_8h.html',1,'']]], + ['signaldecl_2ecpp_3',['SignalDecl.cpp',['../SignalDecl_8cpp.html',1,'']]], + ['signaldecl_2eh_4',['SignalDecl.h',['../SignalDecl_8h.html',1,'']]], + ['slice_5fmerge_2epy_5',['slice_merge.py',['../slice__merge_8py.html',1,'']]], + ['sort_5fvar_5fdecl_2epy_6',['sort_var_decl.py',['../sort__var__decl_8py.html',1,'']]], + ['splitcfg_2ecpp_7',['SplitCFG.cpp',['../SplitCFG_8cpp.html',1,'']]], + ['splitcfg_2eh_8',['SplitCFG.h',['../SplitCFG_8h.html',1,'']]], + ['splitcfgblock_2ecpp_9',['SplitCFGBlock.cpp',['../SplitCFGBlock_8cpp.html',1,'']]], + ['splitcfgblock_2eh_10',['SplitCFGBlock.h',['../SplitCFGBlock_8h.html',1,'']]], + ['structure_5fcollector_2epy_11',['structure_collector.py',['../structure__collector_8py.html',1,'']]], + ['suspensionautomata_2ecpp_12',['SuspensionAutomata.cpp',['../SuspensionAutomata_8cpp.html',1,'']]], + ['suspensionautomata_2eh_13',['SuspensionAutomata.h',['../SuspensionAutomata_8h.html',1,'']]], + ['systemc_2dclang_2epy_14',['systemc-clang.py',['../systemc-clang_8py.html',1,'']]], + ['systemcclang_2ecpp_15',['SystemCClang.cpp',['../SystemCClang_8cpp.html',1,'']]], + ['systemcclang_2eh_16',['SystemCClang.h',['../SystemCClang_8h.html',1,'']]] ]; diff --git a/search/functions_0.js b/search/functions_0.js index 4b3e43ca..bd170815 100644 --- a/search/functions_0.js +++ b/search/functions_0.js @@ -9,62 +9,76 @@ var searchData= ['_5f_5fcheck_5fconst_6',['__check_const',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aeda060b73123f5c44c736fb81a2a40d9',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['_5f_5fcheck_5fpart_5fselect_5fleft_7',['__check_part_select_left',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a57fa0954a5a28f1d7a9f32ed10a9e68a',1,'parselib::transforms::slice_merge::SliceMerge']]], ['_5f_5fcheck_5fpart_5fselect_5fright_8',['__check_part_select_right',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a7d4c8d12ea1665c72ef5723fb613f945',1,'parselib::transforms::slice_merge::SliceMerge']]], - ['_5f_5fcheck_5fsystemc_5fclang_5fexecutable_5fexists_9',['__check_systemc_clang_executable_exists',['../classsystemc-clang_1_1SystemCClang.html#a886ba420e781deb053c86a039b6cc0a4',1,'systemc-clang::SystemCClang']]], - ['_5f_5fclear_5fdriven_5fsignals_10',['__clear_driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a06c785cc6a1ae4c21e4300eb01321064',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5fdefault_5f_5f_11',['__default__',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ac155340dcce91eddfd309b01e9829fb9',1,'parselib::transforms::top_down::TopDown']]], - ['_5f_5fexpand_5fblkassign_12',['__expand_blkassign',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#adeb9e08be7040c35deab0b9171c764e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fdecl_5fin_5ftree_5fchildren_13',['__expand_decl_in_tree_children',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a830e3a92dfe1ec21d1971e0c70aac5eb',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fhelper_14',['__expand_helper',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa955ab787ae1cc50b051eb7261f25e36',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fhtype_15',['__expand_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a010aeb6d8c5a7dde8615d4c09b0f78c2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fport_16',['__expand_port',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#adbc629cd94ae1715c436a9b0c4027110',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fportdecltype_17',['__expand_portdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ceb6731d7e5e814e948fa2a0b8e02b8',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fsc_5frvd_18',['__expand_sc_rvd',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a360545a55ac21db4ca031a9718add78e',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fsc_5frvd_5fbinding_5fpair_19',['__expand_sc_rvd_binding_pair',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a2170b8f91078dd1ad217051112c6362b',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fsigdecltype_20',['__expand_sigdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1003f27ac98dc9599f399b457ba371e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5ftypeinfo_21',['__expand_typeinfo',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3b58996c8c77fdb8202d6dab15affff',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpand_5fvardecl_22',['__expand_vardecl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a96c238a1bac3338cc12302bff0c45d93',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fexpand_5fvardecltype_23',['__expand_vardecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1d9ef1fdbb91ccb4ab31af7aeab064e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fexpanded_5ftype_24',['__expanded_type',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a912ce0b3d5fcc8a4bae1d0ae2b0411e5',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fextract_5ffunc_5fdef_25',['__extract_func_def',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ac71393761e88e1b95c249d34851c5d2d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__extract_func_def()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a67ec1da85998f48bddb64239e9f866e7',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_func_def(self, tree)']]], - ['_5f_5fextract_5ffunc_5fid_26',['__extract_func_id',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af54ae98265633e260750b23775de262b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fextract_5fid_5ffrom_5ffunc_5farg_27',['__extract_id_from_func_arg',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a5f9b3618ef661ee119567d58cd5eb8cc',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fextract_5fname_5ffrom_5fmethod_5fargs_28',['__extract_name_from_method_args',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a51b2cf1d59d3711b7906076661c9fab6',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['_5f_5fgen_5ffuncparam_29',['__gen_funcparam',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8f8a146e5fa27815e98d7adfb23f0b2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fgenerate_5fhthread_5fblock_30',['__generate_hthread_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a326cb1a975a542145b87fc4507a47347',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fgenerate_5fvars_5fdecl_31',['__generate_vars_decl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a47d6c20b440eedab233f2c861bf41930',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fget_5fcurrent_5fprocess_5fstub_32',['__get_current_process_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aadc3685d3fab8760bd6a9b8ed9b54c2b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fget_5fdriven_5fsig_5fname_33',['__get_driven_sig_name',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a341f4249ae83d12b8b18c611a8d91d90',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5fget_5fexpandable_5ftype_5ffrom_5fhtype_34',['__get_expandable_type_from_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aef07cb7842eb5bf3b085612dd1155143',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fget_5fexpandable_5fvar_5ffrom_5ftree_35',['__get_expandable_var_from_tree',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a47227c58c06f48a5d2da8fc24c26a16a',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fget_5fexpanded_36',['__get_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a3063f3ee7bea8db1e4766c719f9d6924',1,'parselib::transforms::port_expansion::PortExpansion']]], - ['_5f_5fget_5ffunc_5fparam_5fstub_37',['__get_func_param_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a45a630400d75434194f37c955e134f7f',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fget_5fhdl_5ffile_5fout_38',['__get_hdl_file_out',['../classsystemc-clang_1_1SystemCClang.html#a38ef2a87efa868547b80205762748127',1,'systemc-clang::SystemCClang']]], - ['_5f_5fget_5fsources_5ffrom_5fargs_39',['__get_sources_from_args',['../classsystemc-clang_1_1SystemCClang.html#a16152f3d72cc5169c5f3e032cab68ef4',1,'systemc-clang::SystemCClang']]], - ['_5f_5fget_5fsystemc_5fclang_5foutput_5ffiles_40',['__get_systemc_clang_output_files',['../classsystemc-clang_1_1SystemCClang.html#a99992266160f42ba28f7f7b28f9fe3ae',1,'systemc-clang::SystemCClang']]], - ['_5f_5fget_5fvar_5fname_41',['__get_var_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab9aa2b4359145541d539d4249cfe4654',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fget_5fvar_5fnames_42',['__get_var_names',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8831314a94db86ff3abc2f32ae54f0b3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5finit_5f_5f_43',['__init__',['../classparselib_1_1compound_1_1aggregate.html#a75ac435387093f3d7f67f99d911af0bd',1,'parselib.compound.aggregate.__init__()'],['../classparselib_1_1primitives_1_1TypeContext.html#a3cc22af4685d7fcab36fa7a3d0ed4327',1,'parselib.primitives.TypeContext.__init__()'],['../classparselib_1_1primitives_1_1sc__in.html#a94581f761ccdddf5147dc505a4256e4c',1,'parselib.primitives.sc_in.__init__()'],['../classparselib_1_1primitives_1_1____func__inout.html#af23772f6b8f87d719c948194049a7b18',1,'parselib.primitives.__func_inout.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aff59c0786c617bce8acf9505f56d119c',1,'parselib.primitives.sc_rvd_out.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a575feed07fcfca94e9112bcec4573833',1,'parselib.primitives.sc_rvd_in.__init__()'],['../classparselib_1_1primitives_1_1sc__out.html#a83975ad8230f97e5a0a8dd2dbb3a2f3a',1,'parselib.primitives.sc_out.__init__()'],['../classparselib_1_1primitives_1_1sc__bv.html#a4563cbbb87bfd05c89adf04dee1368cb',1,'parselib.primitives.sc_bv.__init__()'],['../classparselib_1_1primitives_1_1sc__uint.html#acfc1339961c4975f5be9fa8e7578268a',1,'parselib.primitives.sc_uint.__init__()'],['../classparselib_1_1primitives_1_1sc__int.html#a1ae721700e5dd994c5a68738bfd47c0a',1,'parselib.primitives.sc_int.__init__()'],['../classparselib_1_1primitives_1_1sc__signal.html#a8517b39ae78dc965636fedaa739daf07',1,'parselib.primitives.sc_signal.__init__()'],['../classparselib_1_1primitives_1_1array.html#a5fbd6218a1147cace88a28f6ce69834e',1,'parselib.primitives.array.__init__()'],['../classparselib_1_1primitives_1_1vmodule.html#afac42dbe6105b51e0c3e5dd480511a0c',1,'parselib.primitives.vmodule.__init__()'],['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#af120ad7b0d1018003a040c2a687f7091',1,'parselib.transforms.alias_translation.AliasTranslation.__init__()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#abe033f40cb3e9c4b5ca5e351bbd3113d',1,'parselib.transforms.comma_transformation.CommaTransformation.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad2904cfee97a095ff0eb5eb122fee3d0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a60a6594c3ae451f514c0396e1e6d2e34',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__init__()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aab038b83eb00dcbd0353d0e9055799ce',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__init__()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a74d587efe7c6eaf2eaac63d10adf4481',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__init__()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a14b9a35dc058dafd90ce81a98c45f791',1,'parselib.transforms.literal_expansion.LiteralExpansion.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html#adbbb882a3fbd70ece1497f9baabfa884',1,'parselib.transforms.name_stub.FuncNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a7556b7dc8816d104b06507aa0f2b5c1e',1,'parselib.transforms.name_stub.FuncParamNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a93bd4d770d6de51a36c5e1cb911163b9',1,'parselib.transforms.name_stub.ProcessVarNameStub.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Node.html#a72f2659e2cd3c5b2c211ecba876e6f98',1,'parselib.transforms.node.Node.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Statement.html#a4f8f5bc26d71529db6fe7886351f4c73',1,'parselib.transforms.node.Statement.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Expression.html#a32d03402712c65c32a3014bb9ec43981',1,'parselib.transforms.node.Expression.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Always.html#aecc4c572d7921f4f02d5f6781208733c',1,'parselib.transforms.node.Always.__init__()'],['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html#aa044aff2adca9f433516ead9a25b70f4',1,'parselib.transforms.node.ArrayDeref.__init__()'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a5cb486566e31d58c38d5497646262d90',1,'parselib.transforms.node.TypeDefType.__init__()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#a4e75d22a9d5708888156aad86477b359',1,'parselib.transforms.node_movement.NodeMovement.__init__()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ab707ce3154a5c69ab6add0ff2a243d9f',1,'parselib.transforms.port_expansion.PortExpansion.__init__()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#ab7e5b0b1ee3f622c46430c7475156118',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.__init__()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad77ee9e82ba9e128c74f616e15f46db9',1,'parselib.transforms.slice_merge.SliceMerge.__init__()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#ade0417dd483bfb38a698e864c87a7e25',1,'parselib.transforms.structure_collector.StructureCollector.__init__()'],['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#af731a3cd4b79dc63ff11f48bc13a4916',1,'parselib.transforms.top_down.TopDown.__init__()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a58bc0f25d8495b5ee8e2ca6fb015eff7',1,'parselib.transforms.type_collector.TypeCollector.__init__()'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ac17d67e266d6bab49a9cc337c5a66a1b',1,'parselib.transforms.type_node.TypeNode.__init__()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab15cae3015a811031a6afcc93a2369df',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a150440df0382653296b4b80b4cd28a9b',1,'parselib.transforms.typedef_filter.TypeDefFilter.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a33d1facb43b18bf2225f0b492aa04b5a',1,'parselib.transforms.typedef_filter.TypeDefCleanup.__init__()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a889636db6d486806bc9906d66af8a5d7',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.__init__()'],['../classsystemc-clang_1_1UnconfiguredEnvironment.html#a95cf123bd093051e77630be37f121973',1,'systemc-clang.UnconfiguredEnvironment.__init__()'],['../classsystemc-clang_1_1SystemCClang.html#a3485bc07f8b15745fba2df8ee770cfbe',1,'systemc-clang.SystemCClang.__init__()']]], - ['_5f_5finit_5fsubclass_5f_5f_44',['__init_subclass__',['../classparselib_1_1primitives_1_1Primitive.html#a6056c7d979bf082ab416dbabade2c1f0',1,'parselib::primitives::Primitive']]], - ['_5f_5fis_5fall_5fnone_45',['__is_all_none',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a375aaaa8d27497c25ba6c07fb3938f11',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['_5f_5fis_5fgenerated_5fsignal_46',['__is_generated_signal',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abbe279de8ab93aa02269616a511cd081',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fis_5fliteral_5fnode_47',['__is_literal_node',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a2d56f01448cc67e78a3a5e7f82e49480',1,'parselib::transforms::slice_merge::SliceMerge']]], - ['_5f_5fis_5flocal_5fvariable_48',['__is_local_variable',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8ee13dd138927f6bb4db4ef0b89de13',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fis_5fsynchronous_5fsensitivity_5flist_49',['__is_synchronous_sensitivity_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adbd7b5a49796f761610660c9a2ce8fc8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fnew_5f_5f_50',['__new__',['../classparselib_1_1primitives_1_1sc__biguint.html#a147b7fd4a1c292f35b4551658a8b5691',1,'parselib.primitives.sc_biguint.__new__()'],['../classparselib_1_1primitives_1_1double.html#a2803802f8957df289d4f0678c1cf7100',1,'parselib.primitives.double.__new__()'],['../classparselib_1_1primitives_1_1sc__bigint.html#a0109a61b0dfe00192aec6c85fd56f178',1,'parselib.primitives.sc_bigint.__new__()'],['../classparselib_1_1primitives_1_1____int128.html#a55b3636b2cccd53086d540dde609519b',1,'parselib.primitives.__int128.__new__()'],['../classparselib_1_1primitives_1_1unsigned______int128.html#a13b5055ec3e8d948e8c0c44091f10dac',1,'parselib.primitives.unsigned___int128.__new__()'],['../classparselib_1_1primitives_1_1long.html#a712a0097af5c8eb384254bdee618eeeb',1,'parselib.primitives.long.__new__()'],['../classparselib_1_1primitives_1_1unsigned__long.html#ae31272911369181d6fcfa9ffb87b7212',1,'parselib.primitives.unsigned_long.__new__()'],['../classparselib_1_1primitives_1_1cppbool.html#a743e92c894a8b4b8bc9232a5df5dc878',1,'parselib.primitives.cppbool.__new__()'],['../classparselib_1_1primitives_1_1cppint.html#a1976389cb69e45a1ca0e7ef8d0693973',1,'parselib.primitives.cppint.__new__()'],['../classparselib_1_1primitives_1_1cppchar.html#a97e04236dffc3922e7d69a5a945d1f9b',1,'parselib.primitives.cppchar.__new__()'],['../classparselib_1_1primitives_1_1cppsignedchar.html#a5badfb7a6813405339e73e632390dad8',1,'parselib.primitives.cppsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppunsignedchar.html#a7274602574f56975d659f64c0c882131',1,'parselib.primitives.cppunsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppuint.html#a9934f732b8b94c26471fc4b2d55b24d4',1,'parselib.primitives.cppuint.__new__()'],['../classparselib_1_1primitives_1_1cppshort.html#a67f78a9acafbf8a1d2d843e8548cb3aa',1,'parselib.primitives.cppshort.__new__()'],['../classparselib_1_1primitives_1_1cppushort.html#ab02caf36102ed2bb684164dec71feb9a',1,'parselib.primitives.cppushort.__new__()'],['../classparselib_1_1primitives_1_1cpplonglong.html#a3d52db208cb293266a430fe3ac7f1e06',1,'parselib.primitives.cpplonglong.__new__()'],['../classparselib_1_1primitives_1_1cppulonglong.html#ad10e25012d263be9a6d1bef6579cf484',1,'parselib.primitives.cppulonglong.__new__()'],['../classparselib_1_1primitives_1_1sc__clock.html#af3152700e48e217fb94f4a79f4e67c4b',1,'parselib.primitives.sc_clock.__new__()']]], - ['_5f_5fpush_5fup_51',['__push_up',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ae7c91b4c613b5267fef2d13aa0da3df6',1,'parselib::transforms::top_down::TopDown']]], - ['_5f_5frecord_5fdriven_5fsignal_52',['__record_driven_signal',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a64fdfe337aef00180a9eaa6875bb0b8e',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5freset_5flocal_5fvariables_53',['__reset_local_variables',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af7058ba8b0131842bce17e1a6aefd4c2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fsearch_5fcurrent_5ffunction_54',['__search_current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a3fe462760c1a5563c209378d1003b9ed',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__search_current_function()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a715fe51e1d9385abf640ba4f4b294689',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__search_current_function()']]], - ['_5f_5fset_5fexpanded_55',['__set_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#af51d80e587e2473d00cb490683d7e53d',1,'parselib.transforms.port_expansion.PortExpansion.__set_expanded()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#abcf4ff0db4483734c319fc85787e4376',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__set_expanded()']]], - ['_5f_5fto_5fseq_56',['__to_seq',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a82c99c0fac6e2898c8582981785950f5',1,'parselib::transforms::slice_merge::SliceMerge']]], - ['_5f_5ftop_5fsort_57',['__top_sort',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a01cb40863c5fea57f0da4a609433189a',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], - ['_5f_5ftop_5fsort_5fhelper_58',['__top_sort_helper',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#ad10fe596df7ae2aad0ee8d1cee9ac00d',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], - ['_5f_5ftransform_5farrayref_5fsyscread_59',['__transform_arrayref_syscread',['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#a788a2b25f09dac9a990fb990e26fd2a1',1,'parselib::transforms::node_merge::NodeMergePass']]], - ['_5fclean_5fharrayref_60',['_clean_harrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a374067386d0bcc4398df59601f89e510',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5fget_5fop_5ftoken_5ffrom_5fcompound_5fassign_61',['_get_op_token_from_compound_assign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b591dba7a296190191457fc3abd1610',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['_5fget_5ftype_5faliases_62',['_get_type_aliases',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aba1685e703df381806fbaf6d0d63c1fc',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['_5fget_5ftype_5fname_63',['_get_type_name',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a00535fc1a365b488f6ac7ead73f8abe3',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['_5fget_5ftype_5fparams_64',['_get_type_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aee92cb980086f199bd45c301e22fa210',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['_5fhandle_5fexception_5fand_5fexit_65',['_handle_exception_and_exit',['../namespacehcode2verilog.html#a2520d23ef107a459e73c30126acc4ffe',1,'hcode2verilog']]], - ['_5finstantiate_66',['_instantiate',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#af4301c169f6323338e5b91295e9c173c',1,'parselib::transforms::node::TypeDefType']]] + ['_5f_5fcheck_5fstmt_5fportbinding_9',['__check_stmt_portbinding',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a08fd6f885f903a6ec517c0d23d25e4ee',1,'parselib.transforms.port_expansion.PortExpansion.__check_stmt_portbinding()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a36dace98e2af5b323819c8342922c4c7',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__check_stmt_portbinding()']]], + ['_5f_5fcheck_5fsystemc_5fclang_5fexecutable_5fexists_10',['__check_systemc_clang_executable_exists',['../classsystemc-clang_1_1SystemCClang.html#a886ba420e781deb053c86a039b6cc0a4',1,'systemc-clang::SystemCClang']]], + ['_5f_5fclear_5fdriven_5fsignals_11',['__clear_driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a06c785cc6a1ae4c21e4300eb01321064',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5fcreate_5fsensevar_5fgenerate_5fblock_12',['__create_sensevar_generate_block',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a18562af80da6777a4ea3cf87cb10f601',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['_5f_5fdecl_5freferred_5fin_5fsensvar_13',['__decl_referred_in_sensvar',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#affdb6aa956b5b9af1bcf98858e7bab21',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['_5f_5fdefault_5f_5f_14',['__default__',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ac155340dcce91eddfd309b01e9829fb9',1,'parselib::transforms::top_down::TopDown']]], + ['_5f_5fenter_5f_5f_15',['__enter__',['../classparselib_1_1utils_1_1ContextManager.html#a61e789cf9d87b28b90fea4d395c2ca60',1,'parselib::utils::ContextManager']]], + ['_5f_5fexit_5f_5f_16',['__exit__',['../classparselib_1_1utils_1_1ContextManager.html#aaf258d4c4cdb1c1d7e576a070cd2adc3',1,'parselib::utils::ContextManager']]], + ['_5f_5fexpand_5fblkassign_17',['__expand_blkassign',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#adeb9e08be7040c35deab0b9171c764e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fdecl_5fin_5ftree_5fchildren_18',['__expand_decl_in_tree_children',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a830e3a92dfe1ec21d1971e0c70aac5eb',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fhelper_19',['__expand_helper',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa955ab787ae1cc50b051eb7261f25e36',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fhtype_20',['__expand_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a010aeb6d8c5a7dde8615d4c09b0f78c2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fport_21',['__expand_port',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#adbc629cd94ae1715c436a9b0c4027110',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fportdecltype_22',['__expand_portdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ceb6731d7e5e814e948fa2a0b8e02b8',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fsc_5frvd_23',['__expand_sc_rvd',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a360545a55ac21db4ca031a9718add78e',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fsc_5frvd_5fbinding_5fpair_24',['__expand_sc_rvd_binding_pair',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a2170b8f91078dd1ad217051112c6362b',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fsigdecltype_25',['__expand_sigdecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1003f27ac98dc9599f399b457ba371e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5ftypeinfo_26',['__expand_typeinfo',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3b58996c8c77fdb8202d6dab15affff',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpand_5fvardecl_27',['__expand_vardecl',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a96c238a1bac3338cc12302bff0c45d93',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fexpand_5fvardecltype_28',['__expand_vardecltype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a1d9ef1fdbb91ccb4ab31af7aeab064e2',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fexpanded_5ftype_29',['__expanded_type',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a912ce0b3d5fcc8a4bae1d0ae2b0411e5',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fextract_5ffunc_5fdef_30',['__extract_func_def',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ac71393761e88e1b95c249d34851c5d2d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__extract_func_def()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a67ec1da85998f48bddb64239e9f866e7',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__extract_func_def(self, tree)']]], + ['_5f_5fextract_5ffunc_5fid_31',['__extract_func_id',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af54ae98265633e260750b23775de262b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fextract_5fid_5ffrom_5ffunc_5farg_32',['__extract_id_from_func_arg',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a5f9b3618ef661ee119567d58cd5eb8cc',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fextract_5fname_5ffrom_5fmethod_5fargs_33',['__extract_name_from_method_args',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a51b2cf1d59d3711b7906076661c9fab6',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['_5f_5fforstmt_5fgen_5fblock_34',['__forstmt_gen_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7059da48f8e6545cbb944f7aa2b7e5a2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgen_5ffuncparam_35',['__gen_funcparam',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8f8a146e5fa27815e98d7adfb23f0b2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgenerate_5fhthread_5fblock_36',['__generate_hthread_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a326cb1a975a542145b87fc4507a47347',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgenerate_5fvars_5fdecl_37',['__generate_vars_decl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a47d6c20b440eedab233f2c861bf41930',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fget_5fcurrent_5fprocess_5fstub_38',['__get_current_process_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aadc3685d3fab8760bd6a9b8ed9b54c2b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fget_5fdriven_5fsig_5fname_39',['__get_driven_sig_name',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a341f4249ae83d12b8b18c611a8d91d90',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5fget_5fexpandable_5ftype_5ffrom_5fhtype_40',['__get_expandable_type_from_htype',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aef07cb7842eb5bf3b085612dd1155143',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fget_5fexpandable_5fvar_5ffrom_5ftree_41',['__get_expandable_var_from_tree',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a47227c58c06f48a5d2da8fc24c26a16a',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fget_5fexpanded_42',['__get_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a3063f3ee7bea8db1e4766c719f9d6924',1,'parselib::transforms::port_expansion::PortExpansion']]], + ['_5f_5fget_5ffunc_5fparam_5fstub_43',['__get_func_param_stub',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a45a630400d75434194f37c955e134f7f',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fget_5fhdl_5ffile_5fout_44',['__get_hdl_file_out',['../classsystemc-clang_1_1SystemCClang.html#a38ef2a87efa868547b80205762748127',1,'systemc-clang::SystemCClang']]], + ['_5f_5fget_5fsources_5ffrom_5fargs_45',['__get_sources_from_args',['../classsystemc-clang_1_1SystemCClang.html#a16152f3d72cc5169c5f3e032cab68ef4',1,'systemc-clang::SystemCClang']]], + ['_5f_5fget_5fsystemc_5fclang_5foutput_5ffiles_46',['__get_systemc_clang_output_files',['../classsystemc-clang_1_1SystemCClang.html#a99992266160f42ba28f7f7b28f9fe3ae',1,'systemc-clang::SystemCClang']]], + ['_5f_5fget_5fvar_5fname_47',['__get_var_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab9aa2b4359145541d539d4249cfe4654',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fget_5fvar_5fnames_48',['__get_var_names',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8831314a94db86ff3abc2f32ae54f0b3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fgetattr_5f_5f_49',['__getattr__',['../classparselib_1_1utils_1_1ContextManager.html#a909f07f31e82cfb2edd28a4d8a53676f',1,'parselib::utils::ContextManager']]], + ['_5f_5finit_5f_5f_50',['__init__',['../classparselib_1_1compound_1_1aggregate.html#a75ac435387093f3d7f67f99d911af0bd',1,'parselib.compound.aggregate.__init__()'],['../classparselib_1_1primitives_1_1TypeContext.html#a3cc22af4685d7fcab36fa7a3d0ed4327',1,'parselib.primitives.TypeContext.__init__()'],['../classparselib_1_1primitives_1_1sc__in.html#a94581f761ccdddf5147dc505a4256e4c',1,'parselib.primitives.sc_in.__init__()'],['../classparselib_1_1primitives_1_1____func__inout.html#af23772f6b8f87d719c948194049a7b18',1,'parselib.primitives.__func_inout.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aff59c0786c617bce8acf9505f56d119c',1,'parselib.primitives.sc_rvd_out.__init__()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a575feed07fcfca94e9112bcec4573833',1,'parselib.primitives.sc_rvd_in.__init__()'],['../classparselib_1_1primitives_1_1sc__out.html#a83975ad8230f97e5a0a8dd2dbb3a2f3a',1,'parselib.primitives.sc_out.__init__()'],['../classparselib_1_1primitives_1_1sc__bv.html#a4563cbbb87bfd05c89adf04dee1368cb',1,'parselib.primitives.sc_bv.__init__()'],['../classparselib_1_1primitives_1_1sc__uint.html#acfc1339961c4975f5be9fa8e7578268a',1,'parselib.primitives.sc_uint.__init__()'],['../classparselib_1_1primitives_1_1sc__int.html#a1ae721700e5dd994c5a68738bfd47c0a',1,'parselib.primitives.sc_int.__init__()'],['../classparselib_1_1primitives_1_1sc__signal.html#a8517b39ae78dc965636fedaa739daf07',1,'parselib.primitives.sc_signal.__init__()'],['../classparselib_1_1primitives_1_1array.html#a5fbd6218a1147cace88a28f6ce69834e',1,'parselib.primitives.array.__init__()'],['../classparselib_1_1primitives_1_1vmodule.html#afac42dbe6105b51e0c3e5dd480511a0c',1,'parselib.primitives.vmodule.__init__()'],['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#af120ad7b0d1018003a040c2a687f7091',1,'parselib.transforms.alias_translation.AliasTranslation.__init__()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#abe033f40cb3e9c4b5ca5e351bbd3113d',1,'parselib.transforms.comma_transformation.CommaTransformation.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad2904cfee97a095ff0eb5eb122fee3d0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__init__()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a60a6594c3ae451f514c0396e1e6d2e34',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__init__()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aab038b83eb00dcbd0353d0e9055799ce',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__init__()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a74d587efe7c6eaf2eaac63d10adf4481',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__init__()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#ae981e00cef24379026445f7a3bd98174',1,'parselib.transforms.interface_generation.InterfaceGeneration.__init__()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a254032e5141f7e1bd57fa57c7370336a',1,'parselib.transforms.interface_generation.InterfaceReplacement.__init__()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a14b9a35dc058dafd90ce81a98c45f791',1,'parselib.transforms.literal_expansion.LiteralExpansion.__init__()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#a2d5fe026bcc4ab6ab5aa8479c12a47ba',1,'parselib.transforms.literal_expansion.LiteralExpansion2.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncNameStub.html#adbbb882a3fbd70ece1497f9baabfa884',1,'parselib.transforms.name_stub.FuncNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a7556b7dc8816d104b06507aa0f2b5c1e',1,'parselib.transforms.name_stub.FuncParamNameStub.__init__()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a93bd4d770d6de51a36c5e1cb911163b9',1,'parselib.transforms.name_stub.ProcessVarNameStub.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Node.html#a72f2659e2cd3c5b2c211ecba876e6f98',1,'parselib.transforms.node.Node.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Statement.html#a4f8f5bc26d71529db6fe7886351f4c73',1,'parselib.transforms.node.Statement.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Expression.html#a32d03402712c65c32a3014bb9ec43981',1,'parselib.transforms.node.Expression.__init__()'],['../classparselib_1_1transforms_1_1node_1_1Always.html#aecc4c572d7921f4f02d5f6781208733c',1,'parselib.transforms.node.Always.__init__()'],['../classparselib_1_1transforms_1_1node_1_1ArrayDeref.html#aa044aff2adca9f433516ead9a25b70f4',1,'parselib.transforms.node.ArrayDeref.__init__()'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a5cb486566e31d58c38d5497646262d90',1,'parselib.transforms.node.TypeDefType.__init__()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#a4e75d22a9d5708888156aad86477b359',1,'parselib.transforms.node_movement.NodeMovement.__init__()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#ad28d834f80fbd63411e40602f50b6276',1,'parselib.transforms.node_movement.ArrayPortMovement.__init__()'],['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html#a7746fbe05f4b354c33aaafe2a77c17e6',1,'parselib.transforms.passes.PrettyPrintModule.__init__()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ab707ce3154a5c69ab6add0ff2a243d9f',1,'parselib.transforms.port_expansion.PortExpansion.__init__()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a723f945397622b7543c4c1ff77b1e8c9',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.__init__()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a07490cc25a5a78a1507071bd37ecf609',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.__init__()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ab2433ca4976867c3116dac2daa952ab9',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.__init__()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#ab7e5b0b1ee3f622c46430c7475156118',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.__init__()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a144d6e995d4f6ae2bd39bbd5e562d6da',1,'parselib.transforms.sensevar_movement.SensevarMovement.__init__()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad77ee9e82ba9e128c74f616e15f46db9',1,'parselib.transforms.slice_merge.SliceMerge.__init__()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#ade0417dd483bfb38a698e864c87a7e25',1,'parselib.transforms.structure_collector.StructureCollector.__init__()'],['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#af731a3cd4b79dc63ff11f48bc13a4916',1,'parselib.transforms.top_down.TopDown.__init__()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a58bc0f25d8495b5ee8e2ca6fb015eff7',1,'parselib.transforms.type_collector.TypeCollector.__init__()'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ac17d67e266d6bab49a9cc337c5a66a1b',1,'parselib.transforms.type_node.TypeNode.__init__()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab15cae3015a811031a6afcc93a2369df',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a150440df0382653296b4b80b4cd28a9b',1,'parselib.transforms.typedef_filter.TypeDefFilter.__init__()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a33d1facb43b18bf2225f0b492aa04b5a',1,'parselib.transforms.typedef_filter.TypeDefCleanup.__init__()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6336a9ebae79934b25dad1ec76811e93',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.__init__()'],['../classparselib_1_1utils_1_1ContextManager.html#aab05e2e85a734c0fc5cf216e46ca3f48',1,'parselib.utils.ContextManager.__init__()'],['../classsystemc-clang_1_1UnconfiguredEnvironment.html#a95cf123bd093051e77630be37f121973',1,'systemc-clang.UnconfiguredEnvironment.__init__()'],['../classsystemc-clang_1_1SystemCClang.html#a3485bc07f8b15745fba2df8ee770cfbe',1,'systemc-clang.SystemCClang.__init__()']]], + ['_5f_5finit_5fsubclass_5f_5f_51',['__init_subclass__',['../classparselib_1_1primitives_1_1Primitive.html#a6056c7d979bf082ab416dbabade2c1f0',1,'parselib::primitives::Primitive']]], + ['_5f_5fis_5fall_5fnone_52',['__is_all_none',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a375aaaa8d27497c25ba6c07fb3938f11',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], + ['_5f_5fis_5fgenerated_5fsignal_53',['__is_generated_signal',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abbe279de8ab93aa02269616a511cd081',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fis_5fliteral_5fnode_54',['__is_literal_node',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a2d56f01448cc67e78a3a5e7f82e49480',1,'parselib::transforms::slice_merge::SliceMerge']]], + ['_5f_5fis_5flocal_5fvariable_55',['__is_local_variable',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae8ee13dd138927f6bb4db4ef0b89de13',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fis_5fsynchronous_5fsensitivity_5flist_56',['__is_synchronous_sensitivity_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adbd7b5a49796f761610660c9a2ce8fc8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fnew_5f_5f_57',['__new__',['../classparselib_1_1primitives_1_1sc__biguint.html#a147b7fd4a1c292f35b4551658a8b5691',1,'parselib.primitives.sc_biguint.__new__()'],['../classparselib_1_1primitives_1_1double.html#a2803802f8957df289d4f0678c1cf7100',1,'parselib.primitives.double.__new__()'],['../classparselib_1_1primitives_1_1sc__bigint.html#a0109a61b0dfe00192aec6c85fd56f178',1,'parselib.primitives.sc_bigint.__new__()'],['../classparselib_1_1primitives_1_1____int128.html#a55b3636b2cccd53086d540dde609519b',1,'parselib.primitives.__int128.__new__()'],['../classparselib_1_1primitives_1_1unsigned______int128.html#a13b5055ec3e8d948e8c0c44091f10dac',1,'parselib.primitives.unsigned___int128.__new__()'],['../classparselib_1_1primitives_1_1long.html#a712a0097af5c8eb384254bdee618eeeb',1,'parselib.primitives.long.__new__()'],['../classparselib_1_1primitives_1_1unsigned__long.html#ae31272911369181d6fcfa9ffb87b7212',1,'parselib.primitives.unsigned_long.__new__()'],['../classparselib_1_1primitives_1_1cppbool.html#a743e92c894a8b4b8bc9232a5df5dc878',1,'parselib.primitives.cppbool.__new__()'],['../classparselib_1_1primitives_1_1cppint.html#a1976389cb69e45a1ca0e7ef8d0693973',1,'parselib.primitives.cppint.__new__()'],['../classparselib_1_1primitives_1_1cppchar.html#a97e04236dffc3922e7d69a5a945d1f9b',1,'parselib.primitives.cppchar.__new__()'],['../classparselib_1_1primitives_1_1cppsignedchar.html#a5badfb7a6813405339e73e632390dad8',1,'parselib.primitives.cppsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppunsignedchar.html#a7274602574f56975d659f64c0c882131',1,'parselib.primitives.cppunsignedchar.__new__()'],['../classparselib_1_1primitives_1_1cppuint.html#a9934f732b8b94c26471fc4b2d55b24d4',1,'parselib.primitives.cppuint.__new__()'],['../classparselib_1_1primitives_1_1cppshort.html#a67f78a9acafbf8a1d2d843e8548cb3aa',1,'parselib.primitives.cppshort.__new__()'],['../classparselib_1_1primitives_1_1cppushort.html#ab02caf36102ed2bb684164dec71feb9a',1,'parselib.primitives.cppushort.__new__()'],['../classparselib_1_1primitives_1_1cpplonglong.html#a3d52db208cb293266a430fe3ac7f1e06',1,'parselib.primitives.cpplonglong.__new__()'],['../classparselib_1_1primitives_1_1cppulonglong.html#ad10e25012d263be9a6d1bef6579cf484',1,'parselib.primitives.cppulonglong.__new__()'],['../classparselib_1_1primitives_1_1sc__clock.html#af3152700e48e217fb94f4a79f4e67c4b',1,'parselib.primitives.sc_clock.__new__()']]], + ['_5f_5fpush_5fup_58',['__push_up',['../classparselib_1_1transforms_1_1top__down_1_1TopDown.html#ae7c91b4c613b5267fef2d13aa0da3df6',1,'parselib::transforms::top_down::TopDown']]], + ['_5f_5frecord_5fdriven_5fsignal_59',['__record_driven_signal',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a64fdfe337aef00180a9eaa6875bb0b8e',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5freset_5flocal_5fvariables_60',['__reset_local_variables',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af7058ba8b0131842bce17e1a6aefd4c2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fsearch_5fcurrent_5ffunction_61',['__search_current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a3fe462760c1a5563c209378d1003b9ed',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__search_current_function()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a715fe51e1d9385abf640ba4f4b294689',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__search_current_function()']]], + ['_5f_5fset_5fexpanded_62',['__set_expanded',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#af51d80e587e2473d00cb490683d7e53d',1,'parselib.transforms.port_expansion.PortExpansion.__set_expanded()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#abcf4ff0db4483734c319fc85787e4376',1,'parselib.transforms.typedef_expansion.TypedefExpansion.__set_expanded()']]], + ['_5f_5fto_5fseq_63',['__to_seq',['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a82c99c0fac6e2898c8582981785950f5',1,'parselib::transforms::slice_merge::SliceMerge']]], + ['_5f_5ftop_5fsort_64',['__top_sort',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a01cb40863c5fea57f0da4a609433189a',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], + ['_5f_5ftop_5fsort_5fhelper_65',['__top_sort_helper',['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#ad10fe596df7ae2aad0ee8d1cee9ac00d',1,'parselib::transforms::sort_var_decl::SortVarDecl']]], + ['_5f_5ftransform_5farrayref_5fsyscread_66',['__transform_arrayref_syscread',['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#a788a2b25f09dac9a990fb990e26fd2a1',1,'parselib::transforms::node_merge::NodeMergePass']]], + ['_5fclean_5fharrayref_67',['_clean_harrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a374067386d0bcc4398df59601f89e510',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5fget_5finterface_5finstance_68',['_get_interface_instance',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad6892aeec8082039b782ba468cc5bd87',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5fget_5finterface_5finstance_5fdecl_69',['_get_interface_instance_decl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a76da13151eeb7a526c806621b4f0d066',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5fget_5fop_5ftoken_5ffrom_5fcompound_5fassign_70',['_get_op_token_from_compound_assign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b591dba7a296190191457fc3abd1610',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['_5fget_5fport_5fbinding_5fmoduel_71',['_get_port_binding_moduel',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aaa9cead2f424b4442b3acb6733f31b89',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['_5fget_5ftype_5faliases_72',['_get_type_aliases',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aba1685e703df381806fbaf6d0d63c1fc',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['_5fget_5ftype_5fname_73',['_get_type_name',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a00535fc1a365b488f6ac7ead73f8abe3',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['_5fget_5ftype_5fparams_74',['_get_type_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aee92cb980086f199bd45c301e22fa210',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['_5fhandle_5fexception_5fand_5fexit_75',['_handle_exception_and_exit',['../namespacehcode2verilog.html#a2520d23ef107a459e73c30126acc4ffe',1,'hcode2verilog']]], + ['_5fhtype_76',['_htype',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a2bed0c248c3f0f64f452c2eabb428a0a',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5finstantiate_77',['_instantiate',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#af4301c169f6323338e5b91295e9c173c',1,'parselib::transforms::node::TypeDefType']]], + ['_5fmodportsiglist_78',['_modportsiglist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#adaba5788893207893ee962ed160da7e8',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5fportdecltype_79',['_portdecltype',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a2ab24ef01b27eacaca05e3948a7ef1b7',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['_5fportdecltype_5fto_5fsigdecltype_80',['_portdecltype_to_sigdecltype',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a7189d5f21468766b85a670e80f1063ac',1,'parselib::transforms::interface_generation::InterfaceGeneration']]] ]; diff --git a/search/functions_1.js b/search/functions_1.js index bda97469..3da1eb6d 100644 --- a/search/functions_1.js +++ b/search/functions_1.js @@ -4,69 +4,70 @@ var searchData= ['add_5ffunc_5fname_5fstub_5fto_5fcurrent_5fscope_1',['add_func_name_stub_to_current_scope',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ad02fdd28c69760bb3720d7d2aa762d1e',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], ['add_5fid_5ftype_2',['add_id_type',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a3d8eaadc3e41818d6eca4d69f0fb21b3',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], ['add_5finstance_5fname_3',['add_instance_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a10969391ab3bdda46fddafea615a17c0',1,'sc_ast_matchers::ModuleInstanceType']]], - ['addarraysize_4',['addArraySize',['../classsystemc__clang_1_1PortDecl.html#a5b5e271b1bd0ae65bf341f208a2f057c',1,'systemc_clang::PortDecl']]], - ['addarraysizes_5',['addArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#af3c77277d6002bbc654332fcff9ac1de',1,'sc_ast_matchers::ModuleInstanceType']]], - ['addbaseinstance_6',['addBaseInstance',['../classsystemc__clang_1_1ModuleInstance.html#a2e926b417ec0d2b6867e6bb36ffdf40a',1,'systemc_clang::ModuleInstance']]], - ['addchildblocklist_7',['addChildBlockList',['../classsystemc__clang_1_1SusCFG.html#af72da676ff0fbef5507b3dfee9ee37ae',1,'systemc_clang::SusCFG::addChildBlockList(SusCFG *)'],['../classsystemc__clang_1_1SusCFG.html#ab54ac708721fc38d63829097d5fd5f57',1,'systemc_clang::SusCFG::addChildBlockList(vector< SusCFG * >)']]], - ['addcodeblocks_8',['addCodeBlocks',['../classsystemc__clang_1_1Transition.html#aaa602753672b3b5a9a78f86ff0118884',1,'systemc_clang::Transition::addCodeBlocks(SusCFG *)'],['../classsystemc__clang_1_1Transition.html#ad5cd483e40adc1e02fe5ce2b1b988a37',1,'systemc_clang::Transition::addCodeBlocks(vector< SusCFG * >)']]], - ['addconstructor_9',['addConstructor',['../classsystemc__clang_1_1ModuleInstance.html#a02f245803d12e2bdca43b88d5460bcbb',1,'systemc_clang::ModuleInstance::addConstructor(FindConstructor *)'],['../classsystemc__clang_1_1ModuleInstance.html#a23722e54e716cd091596e32d1bbd518e',1,'systemc_clang::ModuleInstance::addConstructor(clang::Stmt *)']]], - ['addedge_10',['addEdge',['../classsystemc__clang_1_1Graph.html#a28c50cfbe981e0e1fa6189165676d6a6',1,'systemc_clang::Graph::addEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a0fc0c735182b78dff165c5bcf1ba8ec5',1,'systemc_clang::Graph::addEdge(int, int)'],['../classsystemc__clang_1_1Tree.html#a029ac767bf5d680e988d054e8a994f43',1,'systemc_clang::Tree::addEdge()']]], - ['addentryfunctiongpumacromap_11',['addEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#ac773c1205e29c552d9b1a5b2df435a4c',1,'systemc_clang::Model']]], - ['addevent_12',['addEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#a1649933141afdd5c0432dc57c7f71f46',1,'systemc_clang::SuspensionAutomata']]], - ['addeventname_13',['addEventName',['../classsystemc__clang_1_1State.html#ae43c91cfc56d051351a28ea1987e0d8b',1,'systemc_clang::State']]], - ['addfieldtype_14',['addfieldtype',['../classHDLType.html#a7311aa244ba45252456b87a56000e102',1,'HDLType']]], - ['addfinalstate_15',['addFinalState',['../classsystemc__clang_1_1Transition.html#a225b6436893a66ac660d72e2726d81cb',1,'systemc_clang::Transition']]], - ['addglobalevents_16',['addGlobalEvents',['../classsystemc__clang_1_1Model.html#a616e60f9b7a63145f646c6a96fe11e87',1,'systemc_clang::Model']]], - ['addgpufit_17',['addGPUFit',['../classsystemc__clang_1_1GPUMacro.html#ae2fa35f05616f3ac77f11eccd2acbc14',1,'systemc_clang::GPUMacro::addGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#ab698e8ed976903a367660b92bd15540d',1,'systemc_clang::SusCFG::addGPUFit()']]], - ['addinitialstate_18',['addInitialState',['../classsystemc__clang_1_1Transition.html#a7ca29100e3326ea10b3b06fb617aecde',1,'systemc_clang::Transition']]], - ['addinputinterfaces_19',['addInputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a1153f5da8c7c02fc848f60af76827c36',1,'systemc_clang::ModuleInstance']]], - ['addinputoutputinterfaces_20',['addInputOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a60f0b441ce471f92c2c6eb5fad634670',1,'systemc_clang::ModuleInstance']]], - ['addinstance_21',['addInstance',['../classsystemc__clang_1_1Model.html#aba1517e147f013fe0d98e6f30c86dbeb',1,'systemc_clang::Model']]], - ['addinstanceid_22',['addInstanceId',['../classsystemc__clang_1_1Transition.html#aab63ee606e7a5c6462e98e0cb54b880d',1,'systemc_clang::Transition']]], - ['addinstances_23',['addInstances',['../classsystemc__clang_1_1ModuleInstance.html#a1bd3bec837291b5a27ae37fec86c956c',1,'systemc_clang::ModuleInstance']]], - ['addnestedmodule_24',['addNestedModule',['../classsystemc__clang_1_1ModuleInstance.html#a17a42d855d884812c66b3041c7ef6487',1,'systemc_clang::ModuleInstance']]], - ['addnextstatestoblocks_25',['addNextStatesToBlocks',['../classsystemc__clang_1_1SplitCFG.html#af2497ee75041dc8d3a53d3c7352ea2ab',1,'systemc_clang::SplitCFG']]], - ['addnode_26',['addNode',['../classsystemc__clang_1_1Graph.html#ae60f539c9249bb68663a23920579d99e',1,'systemc_clang::Graph::addNode()'],['../classsystemc__clang_1_1Graph.html#a2dc46182b1e2e167148c44a57e1db489',1,'systemc_clang::Graph::addNode(int)'],['../classsystemc__clang_1_1Tree.html#a85d319130ef0379d333898f0de3ba743',1,'systemc_clang::Tree::addNode()']]], - ['addnotifys_27',['addNotifys',['../classsystemc__clang_1_1EntryFunctionContainer.html#a78ecb16fc0215807a09edc69736feae7',1,'systemc_clang::EntryFunctionContainer']]], - ['addoutputinterfaces_28',['addOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a6afc511b5fe04a0a472a629fc7a7c1f9',1,'systemc_clang::ModuleInstance']]], - ['addparentblock_29',['addParentBlock',['../classsystemc__clang_1_1SusCFG.html#a5efdf503741c4b287448f388515a4e8d',1,'systemc_clang::SusCFG::addParentBlock(CFGBlock *)'],['../classsystemc__clang_1_1SusCFG.html#a3953990c2bfa5f8df6a979098306e3b4',1,'systemc_clang::SusCFG::addParentBlock(SusCFG *)']]], - ['addpathtospecialnode_30',['addPathToSpecialNode',['../classsystemc__clang_1_1SplitCFG.html#aa1b2518fb17a90f43458dee9055fd6ae',1,'systemc_clang::SplitCFG']]], - ['addportbinding_31',['addPortBinding',['../classsystemc__clang_1_1ModuleInstance.html#a46bc1df14b8da69b29d4bb380fca7e1d',1,'systemc_clang::ModuleInstance']]], - ['addports_32',['addPorts',['../classsystemc__clang_1_1ModuleInstance.html#af3573478433d9fa95957b30ee76a0238',1,'systemc_clang::ModuleInstance']]], - ['addpredblocks_33',['addPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a38f88af422e1427ddb0aeb4e6b5a1d04',1,'systemc_clang::SusCFG']]], - ['addpredecessor_34',['addPredecessor',['../classsystemc__clang_1_1Node.html#a066a6c4cfb525c198e533be9b1878117',1,'systemc_clang::Node']]], - ['addpredecessors_35',['addPredecessors',['../classsystemc__clang_1_1SplitCFG.html#af8123b365fda5baa0066186a614f0050',1,'systemc_clang::SplitCFG']]], - ['addprocess_36',['addProcess',['../classsystemc__clang_1_1ModuleInstance.html#a5b054e744ac2e3c832249d5a3a16f224',1,'systemc_clang::ModuleInstance']]], - ['addremainingblocks_37',['addRemainingBlocks',['../classsystemc__clang_1_1SuspensionAutomata.html#a50086b57479af946fbd1d21a7ef18093',1,'systemc_clang::SuspensionAutomata']]], - ['addresetedge_38',['addResetEdge',['../classsystemc__clang_1_1EntryFunctionContainer.html#ada75569811391b31d92f3874c1ed018e',1,'systemc_clang::EntryFunctionContainer']]], - ['addresetsignal_39',['addResetSignal',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae04ef080f63a2e8e8b2042363ab13775',1,'systemc_clang::EntryFunctionContainer']]], - ['addresettype_40',['addResetType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aed65b8e72747fc690c70cd721437adb0',1,'systemc_clang::EntryFunctionContainer']]], - ['addscmain_41',['addSCMain',['../classsystemc__clang_1_1Model.html#a7c60331399e34281366679b7bfb484c6',1,'systemc_clang::Model']]], - ['addsensitivityinfo_42',['addSensitivityInfo',['../classsystemc__clang_1_1EntryFunctionContainer.html#a967beb0f9aeb78f0d8587917a9668344',1,'systemc_clang::EntryFunctionContainer']]], - ['addsignalbinding_43',['addSignalBinding',['../classsystemc__clang_1_1ModuleInstance.html#abe547c4e1d92eefaa78bbcfda50198b4',1,'systemc_clang::ModuleInstance']]], - ['addsimtime_44',['addSimTime',['../classsystemc__clang_1_1State.html#afae55d45430056860b2e6b3ef244f9c2',1,'systemc_clang::State::addSimTime()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a7bc2360723555a37bbb51c2d0724ce81',1,'systemc_clang::SuspensionAutomata::addSimTime()']]], - ['addsimulationtime_45',['addSimulationTime',['../classsystemc__clang_1_1Model.html#a74a2ff371b953881310a41432331b3d9',1,'systemc_clang::Model']]], - ['addsuccblocks_46',['addSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a89e88ace80fd920e9a3b15989b18b47a',1,'systemc_clang::SusCFG']]], - ['addsuccessor_47',['addSuccessor',['../classsystemc__clang_1_1Node.html#ac7a0a758941576297cf66216f8af7db9',1,'systemc_clang::Node']]], - ['addsuccessors_48',['addSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a880c92ef09712082c55800a4c22ebc4b',1,'systemc_clang::SplitCFG']]], - ['addsuccessortovisitorpop_49',['addSuccessorToVisitOrPop',['../classsystemc__clang_1_1SplitCFG.html#a157f7beca82574972e7a72fb864acf97',1,'systemc_clang::SplitCFG']]], - ['addsuscfgauto_50',['addSusCFGAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a928b1766e8858139ee1ff249918f6f6b',1,'systemc_clang::EntryFunctionContainer']]], - ['addthreadmethod_51',['AddThreadMethod',['../classsystemc__hdl_1_1HDLThread.html#a99d6abc870004277c508770b66683089',1,'systemc_hdl::HDLThread']]], - ['addtype_52',['addtype',['../classHDLType.html#a3af4b2476e7143388f415531d5b2384f',1,'HDLType']]], - ['addvnames_53',['AddVnames',['../classsystemc__hdl_1_1HDLBody.html#a6b7efd524dc48ad45e362c6abe3b6c50',1,'systemc_hdl::HDLBody']]], - ['addwaits_54',['addWaits',['../classsystemc__clang_1_1EntryFunctionContainer.html#abe6b67fd8b0c7f5391afe4d323e074e8',1,'systemc_clang::EntryFunctionContainer']]], - ['alternate_5fids_55',['alternate_ids',['../namespaceparselib_1_1utils.html#a6d19ef925f18c8693d954ccec084826c',1,'parselib::utils']]], - ['analyze_5farray_5fbase_56',['analyze_array_base',['../classsystemc__clang_1_1FindGPUMacro.html#aaae5bfdcf88c055011d0a594d4aed2e8',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5fdata_5fstruct_57',['analyze_data_struct',['../classsystemc__clang_1_1FindGPUMacro.html#a13d5c9fb1fc5ce6b5e01c5aad15dbe68',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5fexpr_58',['analyze_expr',['../classsystemc__clang_1_1FindGPUMacro.html#a2d7490f57f7352b4215b4e4c5c10b83f',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5flhs_59',['analyze_lhs',['../classsystemc__clang_1_1FindGPUMacro.html#a7751077a1b308787ac2e86329111e3ff',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5frhs_60',['analyze_rhs',['../classsystemc__clang_1_1FindGPUMacro.html#a54308a9bfed0ec7d5c56f21d2358574d',1,'systemc_clang::FindGPUMacro']]], - ['analyze_5fvalue_5fdecl_61',['analyze_value_decl',['../classsystemc__clang_1_1FindGPUMacro.html#a4b22fcf68700ffc2f5248b9ab6320eb8',1,'systemc_clang::FindGPUMacro']]], - ['annotatetransitionsdpseg_62',['annotateTransitionsDPSeg',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a2e2a5da7293995d455107f520b7a91cf',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['append_63',['append',['../classhnode_1_1hNode.html#a757a72e795d72d81da6dbbe64ba28d56',1,'hnode::hNode']]], - ['arrangegpususcfgblocks_64',['arrangeGPUSusCFGBlocks',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#acad967eb6262a3354775642a5189b92c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['arraydimlength_65',['arraydimlength',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#acacd52bd42a9f4d2eb6ec0e47de459b1',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['asstring_66',['asString',['../classsystemc__clang_1_1FindGlobalEvents.html#ab72029e530f6899e910af3263ee5edba',1,'systemc_clang::FindGlobalEvents::asString()'],['../classsystemc__clang_1_1FindTemplateTypes.html#ac80a53fe4f36c12c9dca309500f902ac',1,'systemc_clang::FindTemplateTypes::asString()'],['../classsystemc__clang_1_1PortDecl.html#a492f16d90d0553082e482a942042a692',1,'systemc_clang::PortDecl::asString()'],['../classsystemc__clang_1_1ProcessDecl.html#a8b7d38cfa105b762c09b4d6df8dca3ed',1,'systemc_clang::ProcessDecl::asString()'],['../classsystemc__clang_1_1SignalDecl.html#aea5cc56c9a1c56c10ac5222b4557862e',1,'systemc_clang::SignalDecl::asString()']]], - ['ast_5fmatcher_67',['AST_MATCHER',['../namespacesc__ast__matchers.html#aecbd44732ff832f51ed8708076c5b769',1,'sc_ast_matchers']]], - ['augment_5fname_5fstub_68',['augment_name_stub',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ad68a2a0635722864411fc83db9845885',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]] + ['add_5fvalues_4',['add_values',['../classparselib_1_1utils_1_1ContextManager.html#a6440d7b844b113fc53de90f65b2e2358',1,'parselib::utils::ContextManager']]], + ['addarraysize_5',['addArraySize',['../classsystemc__clang_1_1PortDecl.html#a5b5e271b1bd0ae65bf341f208a2f057c',1,'systemc_clang::PortDecl']]], + ['addarraysizes_6',['addArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#af3c77277d6002bbc654332fcff9ac1de',1,'sc_ast_matchers::ModuleInstanceType']]], + ['addbaseinstance_7',['addBaseInstance',['../classsystemc__clang_1_1ModuleInstance.html#a2e926b417ec0d2b6867e6bb36ffdf40a',1,'systemc_clang::ModuleInstance']]], + ['addchildblocklist_8',['addChildBlockList',['../classsystemc__clang_1_1SusCFG.html#af72da676ff0fbef5507b3dfee9ee37ae',1,'systemc_clang::SusCFG::addChildBlockList(SusCFG *)'],['../classsystemc__clang_1_1SusCFG.html#ab54ac708721fc38d63829097d5fd5f57',1,'systemc_clang::SusCFG::addChildBlockList(vector< SusCFG * >)']]], + ['addcodeblocks_9',['addCodeBlocks',['../classsystemc__clang_1_1Transition.html#aaa602753672b3b5a9a78f86ff0118884',1,'systemc_clang::Transition::addCodeBlocks(SusCFG *)'],['../classsystemc__clang_1_1Transition.html#ad5cd483e40adc1e02fe5ce2b1b988a37',1,'systemc_clang::Transition::addCodeBlocks(vector< SusCFG * >)']]], + ['addconstructor_10',['addConstructor',['../classsystemc__clang_1_1ModuleInstance.html#a02f245803d12e2bdca43b88d5460bcbb',1,'systemc_clang::ModuleInstance::addConstructor(FindConstructor *)'],['../classsystemc__clang_1_1ModuleInstance.html#a23722e54e716cd091596e32d1bbd518e',1,'systemc_clang::ModuleInstance::addConstructor(clang::Stmt *)']]], + ['addedge_11',['addEdge',['../classsystemc__clang_1_1Graph.html#a28c50cfbe981e0e1fa6189165676d6a6',1,'systemc_clang::Graph::addEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a0fc0c735182b78dff165c5bcf1ba8ec5',1,'systemc_clang::Graph::addEdge(int, int)'],['../classsystemc__clang_1_1Tree.html#a029ac767bf5d680e988d054e8a994f43',1,'systemc_clang::Tree::addEdge()']]], + ['addentryfunctiongpumacromap_12',['addEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#ac773c1205e29c552d9b1a5b2df435a4c',1,'systemc_clang::Model']]], + ['addevent_13',['addEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#a1649933141afdd5c0432dc57c7f71f46',1,'systemc_clang::SuspensionAutomata']]], + ['addeventname_14',['addEventName',['../classsystemc__clang_1_1State.html#ae43c91cfc56d051351a28ea1987e0d8b',1,'systemc_clang::State']]], + ['addfieldtype_15',['addfieldtype',['../classHDLType.html#a7311aa244ba45252456b87a56000e102',1,'HDLType']]], + ['addfinalstate_16',['addFinalState',['../classsystemc__clang_1_1Transition.html#a225b6436893a66ac660d72e2726d81cb',1,'systemc_clang::Transition']]], + ['addglobalevents_17',['addGlobalEvents',['../classsystemc__clang_1_1Model.html#a616e60f9b7a63145f646c6a96fe11e87',1,'systemc_clang::Model']]], + ['addgpufit_18',['addGPUFit',['../classsystemc__clang_1_1GPUMacro.html#ae2fa35f05616f3ac77f11eccd2acbc14',1,'systemc_clang::GPUMacro::addGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#ab698e8ed976903a367660b92bd15540d',1,'systemc_clang::SusCFG::addGPUFit()']]], + ['addinitialstate_19',['addInitialState',['../classsystemc__clang_1_1Transition.html#a7ca29100e3326ea10b3b06fb617aecde',1,'systemc_clang::Transition']]], + ['addinputinterfaces_20',['addInputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a1153f5da8c7c02fc848f60af76827c36',1,'systemc_clang::ModuleInstance']]], + ['addinputoutputinterfaces_21',['addInputOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a60f0b441ce471f92c2c6eb5fad634670',1,'systemc_clang::ModuleInstance']]], + ['addinstance_22',['addInstance',['../classsystemc__clang_1_1Model.html#aba1517e147f013fe0d98e6f30c86dbeb',1,'systemc_clang::Model']]], + ['addinstanceid_23',['addInstanceId',['../classsystemc__clang_1_1Transition.html#aab63ee606e7a5c6462e98e0cb54b880d',1,'systemc_clang::Transition']]], + ['addinstances_24',['addInstances',['../classsystemc__clang_1_1ModuleInstance.html#a1bd3bec837291b5a27ae37fec86c956c',1,'systemc_clang::ModuleInstance']]], + ['addnestedmodule_25',['addNestedModule',['../classsystemc__clang_1_1ModuleInstance.html#a17a42d855d884812c66b3041c7ef6487',1,'systemc_clang::ModuleInstance']]], + ['addnextstatestoblocks_26',['addNextStatesToBlocks',['../classsystemc__clang_1_1SplitCFG.html#af2497ee75041dc8d3a53d3c7352ea2ab',1,'systemc_clang::SplitCFG']]], + ['addnode_27',['addNode',['../classsystemc__clang_1_1Graph.html#ae60f539c9249bb68663a23920579d99e',1,'systemc_clang::Graph::addNode()'],['../classsystemc__clang_1_1Graph.html#a2dc46182b1e2e167148c44a57e1db489',1,'systemc_clang::Graph::addNode(int)'],['../classsystemc__clang_1_1Tree.html#a85d319130ef0379d333898f0de3ba743',1,'systemc_clang::Tree::addNode()']]], + ['addnotifys_28',['addNotifys',['../classsystemc__clang_1_1EntryFunctionContainer.html#a78ecb16fc0215807a09edc69736feae7',1,'systemc_clang::EntryFunctionContainer']]], + ['addoutputinterfaces_29',['addOutputInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a6afc511b5fe04a0a472a629fc7a7c1f9',1,'systemc_clang::ModuleInstance']]], + ['addparentblock_30',['addParentBlock',['../classsystemc__clang_1_1SusCFG.html#a5efdf503741c4b287448f388515a4e8d',1,'systemc_clang::SusCFG::addParentBlock(CFGBlock *)'],['../classsystemc__clang_1_1SusCFG.html#a3953990c2bfa5f8df6a979098306e3b4',1,'systemc_clang::SusCFG::addParentBlock(SusCFG *)']]], + ['addpathtospecialnode_31',['addPathToSpecialNode',['../classsystemc__clang_1_1SplitCFG.html#aa1b2518fb17a90f43458dee9055fd6ae',1,'systemc_clang::SplitCFG']]], + ['addportbinding_32',['addPortBinding',['../classsystemc__clang_1_1ModuleInstance.html#a46bc1df14b8da69b29d4bb380fca7e1d',1,'systemc_clang::ModuleInstance']]], + ['addports_33',['addPorts',['../classsystemc__clang_1_1ModuleInstance.html#af3573478433d9fa95957b30ee76a0238',1,'systemc_clang::ModuleInstance']]], + ['addpredblocks_34',['addPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a38f88af422e1427ddb0aeb4e6b5a1d04',1,'systemc_clang::SusCFG']]], + ['addpredecessor_35',['addPredecessor',['../classsystemc__clang_1_1Node.html#a066a6c4cfb525c198e533be9b1878117',1,'systemc_clang::Node']]], + ['addpredecessors_36',['addPredecessors',['../classsystemc__clang_1_1SplitCFG.html#af8123b365fda5baa0066186a614f0050',1,'systemc_clang::SplitCFG']]], + ['addprocess_37',['addProcess',['../classsystemc__clang_1_1ModuleInstance.html#a5b054e744ac2e3c832249d5a3a16f224',1,'systemc_clang::ModuleInstance']]], + ['addremainingblocks_38',['addRemainingBlocks',['../classsystemc__clang_1_1SuspensionAutomata.html#a50086b57479af946fbd1d21a7ef18093',1,'systemc_clang::SuspensionAutomata']]], + ['addresetedge_39',['addResetEdge',['../classsystemc__clang_1_1EntryFunctionContainer.html#ada75569811391b31d92f3874c1ed018e',1,'systemc_clang::EntryFunctionContainer']]], + ['addresetsignal_40',['addResetSignal',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae04ef080f63a2e8e8b2042363ab13775',1,'systemc_clang::EntryFunctionContainer']]], + ['addresettype_41',['addResetType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aed65b8e72747fc690c70cd721437adb0',1,'systemc_clang::EntryFunctionContainer']]], + ['addscmain_42',['addSCMain',['../classsystemc__clang_1_1Model.html#a7c60331399e34281366679b7bfb484c6',1,'systemc_clang::Model']]], + ['addsensitivityinfo_43',['addSensitivityInfo',['../classsystemc__clang_1_1EntryFunctionContainer.html#a967beb0f9aeb78f0d8587917a9668344',1,'systemc_clang::EntryFunctionContainer']]], + ['addsignalbinding_44',['addSignalBinding',['../classsystemc__clang_1_1ModuleInstance.html#abe547c4e1d92eefaa78bbcfda50198b4',1,'systemc_clang::ModuleInstance']]], + ['addsimtime_45',['addSimTime',['../classsystemc__clang_1_1State.html#afae55d45430056860b2e6b3ef244f9c2',1,'systemc_clang::State::addSimTime()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a7bc2360723555a37bbb51c2d0724ce81',1,'systemc_clang::SuspensionAutomata::addSimTime()']]], + ['addsimulationtime_46',['addSimulationTime',['../classsystemc__clang_1_1Model.html#a74a2ff371b953881310a41432331b3d9',1,'systemc_clang::Model']]], + ['addsuccblocks_47',['addSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a89e88ace80fd920e9a3b15989b18b47a',1,'systemc_clang::SusCFG']]], + ['addsuccessor_48',['addSuccessor',['../classsystemc__clang_1_1Node.html#ac7a0a758941576297cf66216f8af7db9',1,'systemc_clang::Node']]], + ['addsuccessors_49',['addSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a880c92ef09712082c55800a4c22ebc4b',1,'systemc_clang::SplitCFG']]], + ['addsuccessortovisitorpop_50',['addSuccessorToVisitOrPop',['../classsystemc__clang_1_1SplitCFG.html#a157f7beca82574972e7a72fb864acf97',1,'systemc_clang::SplitCFG']]], + ['addsuscfgauto_51',['addSusCFGAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a928b1766e8858139ee1ff249918f6f6b',1,'systemc_clang::EntryFunctionContainer']]], + ['addthreadmethod_52',['AddThreadMethod',['../classsystemc__hdl_1_1HDLThread.html#a99d6abc870004277c508770b66683089',1,'systemc_hdl::HDLThread']]], + ['addtype_53',['addtype',['../classHDLType.html#a3af4b2476e7143388f415531d5b2384f',1,'HDLType']]], + ['addvnames_54',['AddVnames',['../classsystemc__hdl_1_1HDLBody.html#a6b7efd524dc48ad45e362c6abe3b6c50',1,'systemc_hdl::HDLBody']]], + ['addwaits_55',['addWaits',['../classsystemc__clang_1_1EntryFunctionContainer.html#abe6b67fd8b0c7f5391afe4d323e074e8',1,'systemc_clang::EntryFunctionContainer']]], + ['alternate_5fids_56',['alternate_ids',['../namespaceparselib_1_1utils.html#a6d19ef925f18c8693d954ccec084826c',1,'parselib::utils']]], + ['analyze_5farray_5fbase_57',['analyze_array_base',['../classsystemc__clang_1_1FindGPUMacro.html#aaae5bfdcf88c055011d0a594d4aed2e8',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5fdata_5fstruct_58',['analyze_data_struct',['../classsystemc__clang_1_1FindGPUMacro.html#a13d5c9fb1fc5ce6b5e01c5aad15dbe68',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5fexpr_59',['analyze_expr',['../classsystemc__clang_1_1FindGPUMacro.html#a2d7490f57f7352b4215b4e4c5c10b83f',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5flhs_60',['analyze_lhs',['../classsystemc__clang_1_1FindGPUMacro.html#a7751077a1b308787ac2e86329111e3ff',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5frhs_61',['analyze_rhs',['../classsystemc__clang_1_1FindGPUMacro.html#a54308a9bfed0ec7d5c56f21d2358574d',1,'systemc_clang::FindGPUMacro']]], + ['analyze_5fvalue_5fdecl_62',['analyze_value_decl',['../classsystemc__clang_1_1FindGPUMacro.html#a4b22fcf68700ffc2f5248b9ab6320eb8',1,'systemc_clang::FindGPUMacro']]], + ['annotatetransitionsdpseg_63',['annotateTransitionsDPSeg',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a2e2a5da7293995d455107f520b7a91cf',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['append_64',['append',['../classhnode_1_1hNode.html#a757a72e795d72d81da6dbbe64ba28d56',1,'hnode::hNode']]], + ['arrangegpususcfgblocks_65',['arrangeGPUSusCFGBlocks',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#acad967eb6262a3354775642a5189b92c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['arraydimlength_66',['arraydimlength',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#acacd52bd42a9f4d2eb6ec0e47de459b1',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['asstring_67',['asString',['../classsystemc__clang_1_1FindGlobalEvents.html#ab72029e530f6899e910af3263ee5edba',1,'systemc_clang::FindGlobalEvents::asString()'],['../classsystemc__clang_1_1FindTemplateTypes.html#ac80a53fe4f36c12c9dca309500f902ac',1,'systemc_clang::FindTemplateTypes::asString()'],['../classsystemc__clang_1_1PortDecl.html#a492f16d90d0553082e482a942042a692',1,'systemc_clang::PortDecl::asString()'],['../classsystemc__clang_1_1ProcessDecl.html#a8b7d38cfa105b762c09b4d6df8dca3ed',1,'systemc_clang::ProcessDecl::asString()'],['../classsystemc__clang_1_1SignalDecl.html#aea5cc56c9a1c56c10ac5222b4557862e',1,'systemc_clang::SignalDecl::asString()']]], + ['ast_5fmatcher_68',['AST_MATCHER',['../namespacesc__ast__matchers.html#aecbd44732ff832f51ed8708076c5b769',1,'sc_ast_matchers']]], + ['augment_5fname_5fstub_69',['augment_name_stub',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ad68a2a0635722864411fc83db9845885',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]] ]; diff --git a/search/functions_10.js b/search/functions_10.js index db58844e..8659087b 100644 --- a/search/functions_10.js +++ b/search/functions_10.js @@ -8,78 +8,79 @@ var searchData= ['scsig2hcode_5',['SCsig2hcode',['../classsystemc__hdl_1_1HDLMain.html#a798b9e0074e26d9f90e45da49a30cbef',1,'systemc_hdl::HDLMain']]], ['sctype2hcode_6',['SCtype2hcode',['../classHDLType.html#a6438b9a449e3fedf55ab579878a33480',1,'HDLType']]], ['search_5fid_5fdef_7',['search_id_def',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a7057f208ceae00f1a18c3b657491e67d',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['set_8',['set',['../classhnode_1_1hNode.html#a59ce73e9dec57311dc1fc18b7ab66aac',1,'hnode::hNode::set(hdlopsEnum h, string s="")'],['../classhnode_1_1hNode.html#aee97c18f0579336450d0213f1e35c87c',1,'hnode::hNode::set(string s="")']]], - ['set_5fcurrent_5fproc_5fname_9',['set_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab8b0a54e2d4f04ddb92982dc5b0676ed',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['set_5fids_5fin_5ftree_5fdfs_10',['set_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#ac74638a66ced21a8ec31fc4591b3467c',1,'parselib::utils']]], - ['set_5fprefix_11',['set_prefix',['../classhnode_1_1name__serve.html#a9be361d753b1fad8e843804373040220',1,'hnode::name_serve::set_prefix()'],['../classhnode_1_1newname__map__t.html#a4b6fbe34369a9ec68de46097f839c8b2',1,'hnode::newname_map_t::set_prefix()']]], - ['setarrayparameters_12',['setArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a26667c2766b34d55061efedda27946be',1,'sc_ast_matchers::ModuleInstanceType']]], - ['setarraytype_13',['setArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afe46b03c037611210008d2163a5545a9',1,'sc_ast_matchers::ModuleInstanceType::setArrayType()'],['../classsystemc__clang_1_1PortDecl.html#ade19aaa93ba5dd851d56f103541a79d0',1,'systemc_clang::PortDecl::setArrayType()']]], - ['setbinding_14',['setBinding',['../classsystemc__clang_1_1PortDecl.html#a4e73bb1543ed5bd48f627c27c0a3d6eb',1,'systemc_clang::PortDecl']]], - ['setdelta_15',['setDelta',['../classsystemc__clang_1_1State.html#a5bb9146ab23235ba40befc51df84dd9b',1,'systemc_clang::State']]], - ['setdifference_16',['setDifference',['../classsystemc__clang_1_1SplitCFG.html#a9c44d35e163416e81c0d9cbea284a4ed',1,'systemc_clang::SplitCFG']]], - ['setdiscovered_17',['setDiscovered',['../classsystemc__clang_1_1TreeNode.html#a056c6410d3527c575bc22a588242f5ae',1,'systemc_clang::TreeNode']]], - ['setentrymethod_18',['setEntryMethod',['../classsystemc__clang_1_1EntryFunctionContainer.html#a0c849772c2f9f2bdc4f368a08df5f3b8',1,'systemc_clang::EntryFunctionContainer']]], - ['setevent_19',['setEvent',['../classsystemc__clang_1_1State.html#a060421965cdc1cec1205673a684f1619',1,'systemc_clang::State']]], - ['setfalsepathinfo_20',['setFalsePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a65367ac08f27b2525575fc7ac41a4cde',1,'systemc_clang::SplitCFG']]], - ['setinitial_21',['setInitial',['../classsystemc__clang_1_1State.html#a9062e8b2a0857e87be962fda738caa3a',1,'systemc_clang::State']]], - ['setinstanceconstructorname_22',['setInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a552fc900e72c58a08fe91a601d083d99',1,'systemc_clang::PortBinding']]], - ['setinstancedecl_23',['setInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a6db62b5ff1304966418a18b69f7693b5',1,'systemc_clang::ModuleInstance']]], - ['setinstanceinfo_24',['setInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#abc740918c2e997127ed087312badb1bc',1,'systemc_clang::ModuleInstance']]], - ['setinstancename_25',['setInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a1fba1898ad43cf99cbfdae34abb7b0ce',1,'systemc_clang::ModuleInstance']]], - ['setinstancevarname_26',['setInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#aae351ed5cfcc4a1203d2c96160060872',1,'systemc_clang::PortBinding']]], - ['setmodulename_27',['setModuleName',['../classsystemc__clang_1_1InterfaceDecl.html#a239b65aa6854a651f02ad0436d2b4b85',1,'systemc_clang::InterfaceDecl::setModuleName()'],['../classsystemc__clang_1_1ModuleInstance.html#a7b402d99a2d59c224e8054680d876690',1,'systemc_clang::ModuleInstance::setModuleName()'],['../classsystemc__clang_1_1PortDecl.html#a493bb5572de153c0022f164d01f0c73c',1,'systemc_clang::PortDecl::setModuleName()']]], - ['setname_28',['setName',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad0736a5aaebf5ba282fa6412b0b4715c',1,'systemc_clang::EntryFunctionContainer']]], - ['setnextstate_29',['setNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a65c94baab4e5bc5ff5089b351e76e4fe',1,'systemc_clang::SplitCFGBlock']]], - ['setparent_30',['setParent',['../classsystemc__clang_1_1TreeNode.html#a9b4c33b13848aa25ef768e9eac358378',1,'systemc_clang::TreeNode']]], - ['setparentblock_31',['setParentBlock',['../classsystemc__clang_1_1SusCFG.html#a135ec6375d399f705a442e5e051d80ac',1,'systemc_clang::SusCFG']]], - ['setparentfielddecl_32',['setParentFieldDecl',['../classsc__ast__matchers_1_1InstanceMatcher.html#a0e9f438e721040aab1efb5f720ff11dd',1,'sc_ast_matchers::InstanceMatcher']]], - ['setpointertype_33',['setPointertype',['../classsystemc__clang_1_1PortDecl.html#a2376dcbe7f5114f1f1f052a8192e2cfb',1,'systemc_clang::PortDecl']]], - ['setprocesstype_34',['setProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae6e38aced6b2eb7ea7ba5d5e7096977b',1,'systemc_clang::EntryFunctionContainer']]], - ['setroot_35',['setRoot',['../classsystemc__clang_1_1Tree.html#abd1117c1d2a296368845662350a1c837',1,'systemc_clang::Tree']]], - ['settemplateargs_36',['setTemplateArgs',['../classsystemc__clang_1_1ModuleInstance.html#a37212075649e484c08f914f7462c0a7a',1,'systemc_clang::ModuleInstance']]], - ['settemplateparameters_37',['setTemplateParameters',['../classsystemc__clang_1_1ModuleInstance.html#a59c8f4c1702401d02279e3246f1b6066',1,'systemc_clang::ModuleInstance']]], - ['settimed_38',['setTimed',['../classsystemc__clang_1_1State.html#abecd2759cf4e2be06e3bf843d86730f0',1,'systemc_clang::State']]], - ['settopmodule_39',['setTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a9f951a7b3b7159cc52768dc5df775279',1,'systemc_clang::SystemCConsumer']]], - ['settruepathinfo_40',['setTruePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a15e4a4dac36eb47308fd516715623a6f',1,'systemc_clang::SplitCFG']]], - ['setupsenslist_41',['SetupSenslist',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a11394e7cefee35cd54676c564b965b83',1,'systemc_hdl::HDLConstructorHcode']]], - ['setwaitblock_42',['setWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a92f11cfbf9299bed6677ef957e910cd0',1,'systemc_clang::SusCFG']]], - ['setwaitstmt_43',['setWaitStmt',['../classsystemc__clang_1_1SusCFG.html#aef66008d2d7e51db211ea46302d5d226',1,'systemc_clang::SusCFG']]], - ['shouldvisittemplateinstantiations_44',['shouldVisitTemplateInstantiations',['../classsystemc__clang_1_1FindConstructor.html#a0c97f5366eb8b05fe239a84c336ae946',1,'systemc_clang::FindConstructor::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindEntryFunctions.html#ac7165b7fc5f121975190f62f60a32247',1,'systemc_clang::FindEntryFunctions::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindNotify.html#a39cfc189de65ee37ae8c4784a4c32200',1,'systemc_clang::FindNotify::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindTemplateTypes.html#af1c0f61d80834c79db5b79256f157b98',1,'systemc_clang::FindTemplateTypes::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindWait.html#a491f12402361f17d167986280ba960f9',1,'systemc_clang::FindWait::shouldVisitTemplateInstantiations()'],['../classsystemc__hdl_1_1StmtVisitor.html#a4a841692e72dd4fb406a48bb2bf6de02',1,'systemc_hdl::StmtVisitor::shouldVisitTemplateInstantiations()']]], - ['sigdecltype_45',['sigdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#adc55f799c881d5762930b638333be1fd',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.sigdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aae0f3e11cdde0f4f42f9c801c6e8b043',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.sigdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a48b5087d4ec961d8146d2363abc4f2fd',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.sigdecltype()']]], - ['signaldecl_46',['SignalDecl',['../classsystemc__clang_1_1SignalDecl.html#aec4c010bf96221d61477e03f70569f00',1,'systemc_clang::SignalDecl::SignalDecl()'],['../classsystemc__clang_1_1SignalDecl.html#afdf0c2aec12a1db0ec5f0481b5a423af',1,'systemc_clang::SignalDecl::SignalDecl(const PortDecl &pd)'],['../classsystemc__clang_1_1SignalDecl.html#a1f606dd92179a90f4e787ccc76e450d3',1,'systemc_clang::SignalDecl::SignalDecl(const std::string &name, clang::FieldDecl *fd, FindTemplateTypes *tt)']]], - ['signalmatcher_47',['signalMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#a8386ce39015a0c2e27b7abd022b2331c',1,'sc_ast_matchers::PortMatcher']]], - ['size_48',['size',['../classsystemc__clang_1_1Tree.html#a09b70ee861ad8249595e3addb2110e74',1,'systemc_clang::Tree::size()'],['../classhnode_1_1hNode.html#a8096c4b11f8fb91cfc08b7b3af92d2be',1,'hnode::hNode::size()'],['../classhnode_1_1newname__map__t.html#a5ab10a8703c2a79cbe2cfe9d22542f4e',1,'hnode::newname_map_t::size()']]], - ['some_5fvars_49',['some_vars',['../namespaceparselib_1_1transforms_1_1top__down.html#a278101361c8e2c72e8faaf817110adad',1,'parselib::transforms::top_down']]], - ['splitblock_50',['splitBlock',['../classsystemc__clang_1_1SplitCFG.html#a16b0a78a1457b8f4c6047bc0a540c347',1,'systemc_clang::SplitCFG']]], - ['splitcfg_51',['SplitCFG',['../classsystemc__clang_1_1SplitCFG.html#a7317e453bc6db945c4fb91f37957d6ef',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context)'],['../classsystemc__clang_1_1SplitCFG.html#adde4348e4a7b7eeb571686c94f70e392',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context, const clang::CXXMethodDecl *cxx_decl)'],['../classsystemc__clang_1_1SplitCFG.html#a3c5d710eb6b1723ac8164a514d58170e',1,'systemc_clang::SplitCFG::SplitCFG(const SplitCFG &from)=delete']]], - ['splitcfgblock_52',['SplitCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html#a8dd803fa9818afe2c91ea9d042ba4e8f',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a25ef243e53517c5960f4ba902a5e297a',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock(const SplitCFGBlock &from)']]], - ['splitcfgpathinfo_53',['SplitCFGPathInfo',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a53af19f9ee3109ae0118f715cf7f2f2c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGBlock *block)'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#aafe4f38b5e2aa9823bff67593151ec5c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGPathInfo &from)']]], - ['start_54',['start',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#aaff91fe16e0050545f49dd5db5f80d67',1,'parselib.transforms.structure_collector.StructureCollector.start()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aa92a6175c5458061bbcbfd093cc70231',1,'parselib.transforms.typedef_filter.TypeDefFilter.start()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aaac090241019b2378352fd7ad6c3a9ac',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.start()']]], - ['state_55',['State',['../classsystemc__clang_1_1State.html#ad37dddd460756873361f938634f0b6a4',1,'systemc_clang::State']]], - ['stmt_56',['stmt',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a00210944ac623566171b18d08a6c1108',1,'parselib.transforms.alias_translation.AliasTranslation.stmt()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a8d6a4b53409755a6dddafc62e358f178',1,'parselib.transforms.typedef_expansion.TypedefExpansion.stmt()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af0fc714f48e5f2c01ec7f79cc7ef1a7d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmt()']]], - ['stmts_57',['stmts',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a61a41c91b004a542f480cea2101c8425',1,'parselib.transforms.comma_transformation.CommaTransformation.stmts()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae2e66d8a4f094af49d41958b99991758',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmts()']]], - ['stmtvisitor_58',['StmtVisitor',['../classsystemc__hdl_1_1StmtVisitor.html#aacee235cc248393f4f13558dbb3d131f',1,'systemc_hdl::StmtVisitor']]], - ['str2hdlopenum_59',['str2hdlopenum',['../classhnode_1_1hNode.html#aec703ee51063e979f59919fb4d11494c',1,'hnode::hNode']]], - ['strip_60',['strip',['../classsystemc__clang_1_1Utility.html#a2a23cd95dfe9b197856e28f1f2f70d0d',1,'systemc_clang::Utility']]], - ['strip_5fio_5for_5fdefault_61',['strip_io_or_default',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afd73cb8260d64ee491cedd6e763fdf5b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['substituteindex_62',['SubstituteIndex',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a16cdcd5153ca3c23537b9970ba1096ce',1,'systemc_hdl::HDLConstructorHcode']]], - ['succ_5fbegin_63',['succ_begin',['../classsystemc__clang_1_1SplitCFGBlock.html#a1e8ab8d16b9c0620bee34810ab8f8d6e',1,'systemc_clang::SplitCFGBlock']]], - ['succ_5fempty_64',['succ_empty',['../classsystemc__clang_1_1SplitCFGBlock.html#a7e57f9fe0f2ac8c6c8310911505c54bb',1,'systemc_clang::SplitCFGBlock']]], - ['succ_5fend_65',['succ_end',['../classsystemc__clang_1_1SplitCFGBlock.html#aab262fc4101322b1bbe04037d019c536',1,'systemc_clang::SplitCFGBlock']]], - ['successoriterator_66',['SuccessorIterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#adabdfd132e509950e05c308051968f38',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['supplementaryinfo_67',['SupplementaryInfo',['../structsystemc__clang_1_1SupplementaryInfo.html#a09699789e9bfd1b52861911d870d5b51',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SplitCFGBlock *block)'],['../structsystemc__clang_1_1SupplementaryInfo.html#a7ea97abc86692b2433eedbbd023da7ef',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SupplementaryInfo &from)']]], - ['suscfg_68',['SusCFG',['../classsystemc__clang_1_1SusCFG.html#a225702c64f53648159228f3bd9e94a8a',1,'systemc_clang::SusCFG']]], - ['suspensionautomata_69',['SuspensionAutomata',['../classsystemc__clang_1_1SuspensionAutomata.html#a111035acf37a142a8b80d6c0294d3df7',1,'systemc_clang::SuspensionAutomata']]], - ['switchbody_70',['switchbody',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab0535be066d9e5a5a8c4f710425a4b25',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['switchcond_71',['switchcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3359e6ee33e89bd2b08711d80332a68c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['switchstmt_72',['switchstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca0642ed56e47ed219f77dfd41d922f3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['syscread_73',['syscread',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a3df55289bf7e7dec1f841ab36ffe8bb7',1,'parselib.transforms.alias_translation.AliasTranslation.syscread()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a94583965f34d70d8c9c4fcfd0f9d1c94',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.syscread(self, tree)']]], - ['syscwrite_74',['syscwrite',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab47e4d58cee4414d95fbca7aa106c676',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['systemc_5fclang_5fbinary_75',['systemc_clang_binary',['../classsystemc-clang_1_1SystemCClang.html#acc59bd05e9e0b93c5c7f0be2c594551a',1,'systemc-clang::SystemCClang']]], - ['systemc_5fclang_5fbuild_5fdir_76',['systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a5dbab16a56f6cabe9a020576b0cd9525',1,'systemc-clang::SystemCClang']]], - ['systemc_5finc_5fdir_77',['systemc_inc_dir',['../classsystemc-clang_1_1SystemCClang.html#a197367fbf7e6fc1a2bd64c594562e29b',1,'systemc-clang::SystemCClang']]], - ['systemc_5fpath_78',['systemc_path',['../classsystemc-clang_1_1SystemCClang.html#af320f0136cad369845cc0c8cb921d587',1,'systemc-clang::SystemCClang']]], - ['systemcclang_79',['SystemCClang',['../classsystemc__clang_1_1SystemCClang.html#af9fc1d3261451ffee95f10351d033919',1,'systemc_clang::SystemCClang']]], - ['systemcclangaxn_80',['SystemCClangAXN',['../classsystemc__clang_1_1SystemCClangAXN.html#ac69766a7761fbfef6fc497ac7e0b2643',1,'systemc_clang::SystemCClangAXN']]], - ['systemcconsumer_81',['SystemCConsumer',['../classsystemc__clang_1_1SystemCConsumer.html#a7329006e29dd8aaade834857342ca945',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::CompilerInstance &, std::string top="!none")'],['../classsystemc__clang_1_1SystemCConsumer.html#a8144d02a15221bb1e3809835c566e73d',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::ASTUnit *from_ast, std::string top="!none")']]] + ['search_5fkey_5fin_5fouter_5fcontext_8',['search_key_in_outer_context',['../classparselib_1_1utils_1_1ContextManager.html#a43129b48b07e652d4dbdc52e54e5e025',1,'parselib::utils::ContextManager']]], + ['set_9',['set',['../classhnode_1_1hNode.html#a59ce73e9dec57311dc1fc18b7ab66aac',1,'hnode::hNode::set(hdlopsEnum h, string s="")'],['../classhnode_1_1hNode.html#aee97c18f0579336450d0213f1e35c87c',1,'hnode::hNode::set(string s="")']]], + ['set_5fcurrent_5fproc_5fname_10',['set_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab8b0a54e2d4f04ddb92982dc5b0676ed',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['set_5fids_5fin_5ftree_5fdfs_11',['set_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#ac74638a66ced21a8ec31fc4591b3467c',1,'parselib::utils']]], + ['set_5fprefix_12',['set_prefix',['../classhnode_1_1name__serve.html#a9be361d753b1fad8e843804373040220',1,'hnode::name_serve::set_prefix()'],['../classhnode_1_1newname__map__t.html#a4b6fbe34369a9ec68de46097f839c8b2',1,'hnode::newname_map_t::set_prefix()']]], + ['setarrayparameters_13',['setArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a26667c2766b34d55061efedda27946be',1,'sc_ast_matchers::ModuleInstanceType']]], + ['setarraytype_14',['setArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afe46b03c037611210008d2163a5545a9',1,'sc_ast_matchers::ModuleInstanceType::setArrayType()'],['../classsystemc__clang_1_1PortDecl.html#ade19aaa93ba5dd851d56f103541a79d0',1,'systemc_clang::PortDecl::setArrayType()']]], + ['setbinding_15',['setBinding',['../classsystemc__clang_1_1PortDecl.html#a4e73bb1543ed5bd48f627c27c0a3d6eb',1,'systemc_clang::PortDecl']]], + ['setdelta_16',['setDelta',['../classsystemc__clang_1_1State.html#a5bb9146ab23235ba40befc51df84dd9b',1,'systemc_clang::State']]], + ['setdifference_17',['setDifference',['../classsystemc__clang_1_1SplitCFG.html#a9c44d35e163416e81c0d9cbea284a4ed',1,'systemc_clang::SplitCFG']]], + ['setdiscovered_18',['setDiscovered',['../classsystemc__clang_1_1TreeNode.html#a056c6410d3527c575bc22a588242f5ae',1,'systemc_clang::TreeNode']]], + ['setentrymethod_19',['setEntryMethod',['../classsystemc__clang_1_1EntryFunctionContainer.html#a0c849772c2f9f2bdc4f368a08df5f3b8',1,'systemc_clang::EntryFunctionContainer']]], + ['setevent_20',['setEvent',['../classsystemc__clang_1_1State.html#a060421965cdc1cec1205673a684f1619',1,'systemc_clang::State']]], + ['setfalsepathinfo_21',['setFalsePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a65367ac08f27b2525575fc7ac41a4cde',1,'systemc_clang::SplitCFG']]], + ['setinitial_22',['setInitial',['../classsystemc__clang_1_1State.html#a9062e8b2a0857e87be962fda738caa3a',1,'systemc_clang::State']]], + ['setinstanceconstructorname_23',['setInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a552fc900e72c58a08fe91a601d083d99',1,'systemc_clang::PortBinding']]], + ['setinstancedecl_24',['setInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a6db62b5ff1304966418a18b69f7693b5',1,'systemc_clang::ModuleInstance']]], + ['setinstanceinfo_25',['setInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#abc740918c2e997127ed087312badb1bc',1,'systemc_clang::ModuleInstance']]], + ['setinstancename_26',['setInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a1fba1898ad43cf99cbfdae34abb7b0ce',1,'systemc_clang::ModuleInstance']]], + ['setinstancevarname_27',['setInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#aae351ed5cfcc4a1203d2c96160060872',1,'systemc_clang::PortBinding']]], + ['setmodulename_28',['setModuleName',['../classsystemc__clang_1_1InterfaceDecl.html#a239b65aa6854a651f02ad0436d2b4b85',1,'systemc_clang::InterfaceDecl::setModuleName()'],['../classsystemc__clang_1_1ModuleInstance.html#a7b402d99a2d59c224e8054680d876690',1,'systemc_clang::ModuleInstance::setModuleName()'],['../classsystemc__clang_1_1PortDecl.html#a493bb5572de153c0022f164d01f0c73c',1,'systemc_clang::PortDecl::setModuleName()']]], + ['setname_29',['setName',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad0736a5aaebf5ba282fa6412b0b4715c',1,'systemc_clang::EntryFunctionContainer']]], + ['setnextstate_30',['setNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a65c94baab4e5bc5ff5089b351e76e4fe',1,'systemc_clang::SplitCFGBlock']]], + ['setparent_31',['setParent',['../classsystemc__clang_1_1TreeNode.html#a9b4c33b13848aa25ef768e9eac358378',1,'systemc_clang::TreeNode']]], + ['setparentblock_32',['setParentBlock',['../classsystemc__clang_1_1SusCFG.html#a135ec6375d399f705a442e5e051d80ac',1,'systemc_clang::SusCFG']]], + ['setparentfielddecl_33',['setParentFieldDecl',['../classsc__ast__matchers_1_1InstanceMatcher.html#a0e9f438e721040aab1efb5f720ff11dd',1,'sc_ast_matchers::InstanceMatcher']]], + ['setpointertype_34',['setPointertype',['../classsystemc__clang_1_1PortDecl.html#a2376dcbe7f5114f1f1f052a8192e2cfb',1,'systemc_clang::PortDecl']]], + ['setprocesstype_35',['setProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae6e38aced6b2eb7ea7ba5d5e7096977b',1,'systemc_clang::EntryFunctionContainer']]], + ['setroot_36',['setRoot',['../classsystemc__clang_1_1Tree.html#abd1117c1d2a296368845662350a1c837',1,'systemc_clang::Tree']]], + ['settemplateargs_37',['setTemplateArgs',['../classsystemc__clang_1_1ModuleInstance.html#a37212075649e484c08f914f7462c0a7a',1,'systemc_clang::ModuleInstance']]], + ['settemplateparameters_38',['setTemplateParameters',['../classsystemc__clang_1_1ModuleInstance.html#a59c8f4c1702401d02279e3246f1b6066',1,'systemc_clang::ModuleInstance']]], + ['settimed_39',['setTimed',['../classsystemc__clang_1_1State.html#abecd2759cf4e2be06e3bf843d86730f0',1,'systemc_clang::State']]], + ['settopmodule_40',['setTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a9f951a7b3b7159cc52768dc5df775279',1,'systemc_clang::SystemCConsumer']]], + ['settruepathinfo_41',['setTruePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a15e4a4dac36eb47308fd516715623a6f',1,'systemc_clang::SplitCFG']]], + ['setupsenslist_42',['SetupSenslist',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a11394e7cefee35cd54676c564b965b83',1,'systemc_hdl::HDLConstructorHcode']]], + ['setwaitblock_43',['setWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a92f11cfbf9299bed6677ef957e910cd0',1,'systemc_clang::SusCFG']]], + ['setwaitstmt_44',['setWaitStmt',['../classsystemc__clang_1_1SusCFG.html#aef66008d2d7e51db211ea46302d5d226',1,'systemc_clang::SusCFG']]], + ['shouldvisittemplateinstantiations_45',['shouldVisitTemplateInstantiations',['../classsystemc__clang_1_1FindConstructor.html#a0c97f5366eb8b05fe239a84c336ae946',1,'systemc_clang::FindConstructor::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindEntryFunctions.html#ac7165b7fc5f121975190f62f60a32247',1,'systemc_clang::FindEntryFunctions::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindNotify.html#a39cfc189de65ee37ae8c4784a4c32200',1,'systemc_clang::FindNotify::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindTemplateTypes.html#af1c0f61d80834c79db5b79256f157b98',1,'systemc_clang::FindTemplateTypes::shouldVisitTemplateInstantiations()'],['../classsystemc__clang_1_1FindWait.html#a491f12402361f17d167986280ba960f9',1,'systemc_clang::FindWait::shouldVisitTemplateInstantiations()'],['../classsystemc__hdl_1_1StmtVisitor.html#a4a841692e72dd4fb406a48bb2bf6de02',1,'systemc_hdl::StmtVisitor::shouldVisitTemplateInstantiations()']]], + ['sigdecltype_46',['sigdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#adc55f799c881d5762930b638333be1fd',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.sigdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aae0f3e11cdde0f4f42f9c801c6e8b043',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.sigdecltype()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#af800a8d318020b0910cff75af5bd1a68',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.sigdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a48b5087d4ec961d8146d2363abc4f2fd',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.sigdecltype()']]], + ['signaldecl_47',['SignalDecl',['../classsystemc__clang_1_1SignalDecl.html#aec4c010bf96221d61477e03f70569f00',1,'systemc_clang::SignalDecl::SignalDecl()'],['../classsystemc__clang_1_1SignalDecl.html#afdf0c2aec12a1db0ec5f0481b5a423af',1,'systemc_clang::SignalDecl::SignalDecl(const PortDecl &pd)'],['../classsystemc__clang_1_1SignalDecl.html#a1f606dd92179a90f4e787ccc76e450d3',1,'systemc_clang::SignalDecl::SignalDecl(const std::string &name, clang::FieldDecl *fd, FindTemplateTypes *tt)']]], + ['signalmatcher_48',['signalMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#a8386ce39015a0c2e27b7abd022b2331c',1,'sc_ast_matchers::PortMatcher']]], + ['size_49',['size',['../classsystemc__clang_1_1Tree.html#a09b70ee861ad8249595e3addb2110e74',1,'systemc_clang::Tree::size()'],['../classhnode_1_1hNode.html#a8096c4b11f8fb91cfc08b7b3af92d2be',1,'hnode::hNode::size()'],['../classhnode_1_1newname__map__t.html#a5ab10a8703c2a79cbe2cfe9d22542f4e',1,'hnode::newname_map_t::size()']]], + ['some_5fvars_50',['some_vars',['../namespaceparselib_1_1transforms_1_1top__down.html#a278101361c8e2c72e8faaf817110adad',1,'parselib::transforms::top_down']]], + ['splitblock_51',['splitBlock',['../classsystemc__clang_1_1SplitCFG.html#a16b0a78a1457b8f4c6047bc0a540c347',1,'systemc_clang::SplitCFG']]], + ['splitcfg_52',['SplitCFG',['../classsystemc__clang_1_1SplitCFG.html#a7317e453bc6db945c4fb91f37957d6ef',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context)'],['../classsystemc__clang_1_1SplitCFG.html#adde4348e4a7b7eeb571686c94f70e392',1,'systemc_clang::SplitCFG::SplitCFG(clang::ASTContext &context, const clang::CXXMethodDecl *cxx_decl)'],['../classsystemc__clang_1_1SplitCFG.html#a3c5d710eb6b1723ac8164a514d58170e',1,'systemc_clang::SplitCFG::SplitCFG(const SplitCFG &from)=delete']]], + ['splitcfgblock_53',['SplitCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html#a8dd803fa9818afe2c91ea9d042ba4e8f',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a25ef243e53517c5960f4ba902a5e297a',1,'systemc_clang::SplitCFGBlock::SplitCFGBlock(const SplitCFGBlock &from)']]], + ['splitcfgpathinfo_54',['SplitCFGPathInfo',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a53af19f9ee3109ae0118f715cf7f2f2c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGBlock *block)'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#aafe4f38b5e2aa9823bff67593151ec5c',1,'systemc_clang::SplitCFGPathInfo::SplitCFGPathInfo(const SplitCFGPathInfo &from)']]], + ['start_55',['start',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#aaff91fe16e0050545f49dd5db5f80d67',1,'parselib.transforms.structure_collector.StructureCollector.start()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#aa92a6175c5458061bbcbfd093cc70231',1,'parselib.transforms.typedef_filter.TypeDefFilter.start()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aaac090241019b2378352fd7ad6c3a9ac',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.start()']]], + ['state_56',['State',['../classsystemc__clang_1_1State.html#ad37dddd460756873361f938634f0b6a4',1,'systemc_clang::State']]], + ['stmt_57',['stmt',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a00210944ac623566171b18d08a6c1108',1,'parselib.transforms.alias_translation.AliasTranslation.stmt()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aaf75e0fb061ca27b52b3a2334c130cf1',1,'parselib.transforms.port_expansion.PortExpansion.stmt()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a7dcbca5181fe796ae9c65e86bdcd7282',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.stmt()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a5934757651f542a7122ddaa06c8fc85a',1,'parselib.transforms.sensevar_movement.SensevarMovement.stmt()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a8d6a4b53409755a6dddafc62e358f178',1,'parselib.transforms.typedef_expansion.TypedefExpansion.stmt()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#af0fc714f48e5f2c01ec7f79cc7ef1a7d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmt()']]], + ['stmts_58',['stmts',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a61a41c91b004a542f480cea2101c8425',1,'parselib.transforms.comma_transformation.CommaTransformation.stmts()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a90c37429c22480ce710d1800bbbc3f8f',1,'parselib.transforms.port_expansion.PortExpansion.stmts()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a9bfa0f6b0b5b6ee444555dc5c61d4a80',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.stmts()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#af24980cda9fdf28b17c1d58f5ca8c87e',1,'parselib.transforms.typedef_expansion.TypedefExpansion.stmts()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae2e66d8a4f094af49d41958b99991758',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.stmts()']]], + ['stmtvisitor_59',['StmtVisitor',['../classsystemc__hdl_1_1StmtVisitor.html#aacee235cc248393f4f13558dbb3d131f',1,'systemc_hdl::StmtVisitor']]], + ['str2hdlopenum_60',['str2hdlopenum',['../classhnode_1_1hNode.html#aec703ee51063e979f59919fb4d11494c',1,'hnode::hNode']]], + ['strip_61',['strip',['../classsystemc__clang_1_1Utility.html#a2a23cd95dfe9b197856e28f1f2f70d0d',1,'systemc_clang::Utility']]], + ['strip_5fio_5for_5fdefault_62',['strip_io_or_default',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afd73cb8260d64ee491cedd6e763fdf5b',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['substituteindex_63',['SubstituteIndex',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a16cdcd5153ca3c23537b9970ba1096ce',1,'systemc_hdl::HDLConstructorHcode']]], + ['succ_5fbegin_64',['succ_begin',['../classsystemc__clang_1_1SplitCFGBlock.html#a1e8ab8d16b9c0620bee34810ab8f8d6e',1,'systemc_clang::SplitCFGBlock']]], + ['succ_5fempty_65',['succ_empty',['../classsystemc__clang_1_1SplitCFGBlock.html#a7e57f9fe0f2ac8c6c8310911505c54bb',1,'systemc_clang::SplitCFGBlock']]], + ['succ_5fend_66',['succ_end',['../classsystemc__clang_1_1SplitCFGBlock.html#aab262fc4101322b1bbe04037d019c536',1,'systemc_clang::SplitCFGBlock']]], + ['successoriterator_67',['SuccessorIterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#adabdfd132e509950e05c308051968f38',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], + ['supplementaryinfo_68',['SupplementaryInfo',['../structsystemc__clang_1_1SupplementaryInfo.html#a09699789e9bfd1b52861911d870d5b51',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SplitCFGBlock *block)'],['../structsystemc__clang_1_1SupplementaryInfo.html#a7ea97abc86692b2433eedbbd023da7ef',1,'systemc_clang::SupplementaryInfo::SupplementaryInfo(const SupplementaryInfo &from)']]], + ['suscfg_69',['SusCFG',['../classsystemc__clang_1_1SusCFG.html#a225702c64f53648159228f3bd9e94a8a',1,'systemc_clang::SusCFG']]], + ['suspensionautomata_70',['SuspensionAutomata',['../classsystemc__clang_1_1SuspensionAutomata.html#a111035acf37a142a8b80d6c0294d3df7',1,'systemc_clang::SuspensionAutomata']]], + ['switchbody_71',['switchbody',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab0535be066d9e5a5a8c4f710425a4b25',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['switchcond_72',['switchcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3359e6ee33e89bd2b08711d80332a68c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['switchstmt_73',['switchstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca0642ed56e47ed219f77dfd41d922f3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['syscread_74',['syscread',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a3df55289bf7e7dec1f841ab36ffe8bb7',1,'parselib.transforms.alias_translation.AliasTranslation.syscread()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a94583965f34d70d8c9c4fcfd0f9d1c94',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.syscread(self, tree)']]], + ['syscwrite_75',['syscwrite',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab47e4d58cee4414d95fbca7aa106c676',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['systemc_5fclang_5fbinary_76',['systemc_clang_binary',['../classsystemc-clang_1_1SystemCClang.html#acc59bd05e9e0b93c5c7f0be2c594551a',1,'systemc-clang::SystemCClang']]], + ['systemc_5fclang_5fbuild_5fdir_77',['systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a5dbab16a56f6cabe9a020576b0cd9525',1,'systemc-clang::SystemCClang']]], + ['systemc_5finc_5fdir_78',['systemc_inc_dir',['../classsystemc-clang_1_1SystemCClang.html#a197367fbf7e6fc1a2bd64c594562e29b',1,'systemc-clang::SystemCClang']]], + ['systemc_5fpath_79',['systemc_path',['../classsystemc-clang_1_1SystemCClang.html#af320f0136cad369845cc0c8cb921d587',1,'systemc-clang::SystemCClang']]], + ['systemcclang_80',['SystemCClang',['../classsystemc__clang_1_1SystemCClang.html#af9fc1d3261451ffee95f10351d033919',1,'systemc_clang::SystemCClang']]], + ['systemcclangaxn_81',['SystemCClangAXN',['../classsystemc__clang_1_1SystemCClangAXN.html#ac69766a7761fbfef6fc497ac7e0b2643',1,'systemc_clang::SystemCClangAXN']]], + ['systemcconsumer_82',['SystemCConsumer',['../classsystemc__clang_1_1SystemCConsumer.html#a7329006e29dd8aaade834857342ca945',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::CompilerInstance &, std::string top="!none")'],['../classsystemc__clang_1_1SystemCConsumer.html#a8144d02a15221bb1e3809835c566e73d',1,'systemc_clang::SystemCConsumer::SystemCConsumer(clang::ASTUnit *from_ast, std::string top="!none")']]] ]; diff --git a/search/functions_11.js b/search/functions_11.js index 9575c23e..bf8b4f7c 100644 --- a/search/functions_11.js +++ b/search/functions_11.js @@ -2,17 +2,18 @@ var searchData= [ ['tabit_0',['tabit',['../classsystemc__clang_1_1Utility.html#afee859008a964bba751d6c914c4b04b0',1,'systemc_clang::Utility']]], ['templatetype_1',['TemplateType',['../classsystemc__clang_1_1TemplateType.html#a37fd7c2ea13b30a7788f4872102b978d',1,'systemc_clang::TemplateType::TemplateType()'],['../classsystemc__clang_1_1TemplateType.html#a4abdddeefdd160e4c53d9c67c4e44cb8',1,'systemc_clang::TemplateType::TemplateType(std::string, const clang::Type *)'],['../classsystemc__clang_1_1TemplateType.html#a0b61e391693d213e51eb707e0542efcc',1,'systemc_clang::TemplateType::TemplateType(const TemplateType &)']]], - ['tidify_2',['tidify',['../namespaceparselib_1_1utils.html#a9a74928a3f0e800f1532a11108c1bc42',1,'parselib::utils']]], - ['timeanalysis_3',['TimeAnalysis',['../classTimeAnalysis.html#a813fbadb51e9cb08ca858ddaa75c8b25',1,'TimeAnalysis']]], - ['to_5fstr_4',['to_str',['../classparselib_1_1compound_1_1aggregate.html#abf98f9e4eb4d17ccda58ef47195b9021',1,'parselib.compound.aggregate.to_str()'],['../classparselib_1_1primitives_1_1sc__in.html#a0cbd887138c1684f0787e33b7d6ca8cf',1,'parselib.primitives.sc_in.to_str()'],['../classparselib_1_1primitives_1_1____func__inout.html#a33d0bb58e03c378c8f7b2ed790f696b7',1,'parselib.primitives.__func_inout.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aef3723e590950f105201532fac3bbcc4',1,'parselib.primitives.sc_rvd_out.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a676ab59bd13fbd0d8aea1d347a74260d',1,'parselib.primitives.sc_rvd_in.to_str()'],['../classparselib_1_1primitives_1_1sc__out.html#a38394282cc0279e7dbace0c2c1a52bcf',1,'parselib.primitives.sc_out.to_str()'],['../classparselib_1_1primitives_1_1sc__bv.html#a24c5a18531cdc1f00fa3d065b9606520',1,'parselib.primitives.sc_bv.to_str()'],['../classparselib_1_1primitives_1_1sc__uint.html#af3e0b30a00c97a7f8f1ecef05e691fcb',1,'parselib.primitives.sc_uint.to_str()'],['../classparselib_1_1primitives_1_1sc__int.html#a9700642f1a03892a8b0f994945b408bb',1,'parselib.primitives.sc_int.to_str()'],['../classparselib_1_1primitives_1_1void.html#af40b8b9959ebd662faee7b4f15b282b0',1,'parselib.primitives.void.to_str()'],['../classparselib_1_1primitives_1_1sc__signal.html#a4733ec325d0b86b0f47c94416ab9b7dd',1,'parselib.primitives.sc_signal.to_str()'],['../classparselib_1_1primitives_1_1array.html#abc335a47a3ea2e8d070e47173b527d04',1,'parselib.primitives.array.to_str()'],['../classparselib_1_1primitives_1_1vmodule.html#a2253fab58c5423ccc3376bf555a612ad',1,'parselib.primitives.vmodule.to_str()']]], - ['to_5fstring_5',['to_string',['../namespacesystemc__clang.html#a1b591007cd72b04c83e568db359ca573',1,'systemc_clang']]], - ['tostring_6',['toString',['../classsystemc__clang_1_1TemplateType.html#a32bccb115bc0f74aaad1b0ac624a3f35',1,'systemc_clang::TemplateType::toString()'],['../classsystemc__clang_1_1PortBinding.html#a356024c2075154042aeb19be51dbd197',1,'systemc_clang::PortBinding::toString()'],['../classsystemc__clang_1_1TreeNode.html#af753d344aa7c5f1a8cfdc63ed88714b9',1,'systemc_clang::TreeNode::toString()'],['../namespacesystemc__clang_1_1utils_1_1apint.html#ad2f88d2545e73578964e532225cf3934',1,'systemc_clang::utils::apint::toString()']]], - ['tostringfalsepath_7',['toStringFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a99aac96e9e222cc5526c367dc5fd7801',1,'systemc_clang::SplitCFGPathInfo']]], - ['tostringtruepath_8',['toStringTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad7b78e74f8e5d97e87213f22851b786b',1,'systemc_clang::SplitCFGPathInfo']]], - ['transition_9',['Transition',['../classsystemc__clang_1_1Transition.html#a73b44b2338b11807f77b620a3e810f92',1,'systemc_clang::Transition']]], - ['translate_10',['translate',['../classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html#a2eec2d3df7b1fd19d194a337ea81a973',1,'parselib::transforms::passes::VerilogTranslator']]], - ['translate_5ftext_11',['translate_text',['../namespacehcode2verilog.html#ae4142b98e4886e860865a8d32de62751',1,'hcode2verilog']]], - ['traversestmt_12',['TraverseStmt',['../classsystemc__hdl_1_1HDLBody.html#a74516f472ce4e35722be7643c053b7b6',1,'systemc_hdl::HDLBody']]], - ['tree_13',['Tree',['../classsystemc__clang_1_1Tree.html#adb24c471fe74bed42d84638c50e95b0d',1,'systemc_clang::Tree::Tree()'],['../classsystemc__clang_1_1Tree.html#aa09bb53338778de7eb9278eb34afe5be',1,'systemc_clang::Tree::Tree(const Tree &from)']]], - ['treenode_14',['TreeNode',['../classsystemc__clang_1_1TreeNode.html#afc5dc71e550a2f1b97f31348faea0a42',1,'systemc_clang::TreeNode::TreeNode(T data)'],['../classsystemc__clang_1_1TreeNode.html#ae4df9acfad4e8734fb344bc36ac3ebbb',1,'systemc_clang::TreeNode::TreeNode(const TreeNode &from)']]] + ['terminate_5fwith_5fno_5ftrace_2',['terminate_with_no_trace',['../namespaceparselib_1_1utils.html#a479a84925ecbe28c6d36885b486418f2',1,'parselib::utils']]], + ['tidify_3',['tidify',['../namespaceparselib_1_1utils.html#a9a74928a3f0e800f1532a11108c1bc42',1,'parselib::utils']]], + ['timeanalysis_4',['TimeAnalysis',['../classTimeAnalysis.html#a813fbadb51e9cb08ca858ddaa75c8b25',1,'TimeAnalysis']]], + ['to_5fstr_5',['to_str',['../classparselib_1_1compound_1_1aggregate.html#abf98f9e4eb4d17ccda58ef47195b9021',1,'parselib.compound.aggregate.to_str()'],['../classparselib_1_1primitives_1_1sc__in.html#a0cbd887138c1684f0787e33b7d6ca8cf',1,'parselib.primitives.sc_in.to_str()'],['../classparselib_1_1primitives_1_1____func__inout.html#a33d0bb58e03c378c8f7b2ed790f696b7',1,'parselib.primitives.__func_inout.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__out.html#aef3723e590950f105201532fac3bbcc4',1,'parselib.primitives.sc_rvd_out.to_str()'],['../classparselib_1_1primitives_1_1sc__rvd__in.html#a676ab59bd13fbd0d8aea1d347a74260d',1,'parselib.primitives.sc_rvd_in.to_str()'],['../classparselib_1_1primitives_1_1sc__out.html#a38394282cc0279e7dbace0c2c1a52bcf',1,'parselib.primitives.sc_out.to_str()'],['../classparselib_1_1primitives_1_1sc__bv.html#a24c5a18531cdc1f00fa3d065b9606520',1,'parselib.primitives.sc_bv.to_str()'],['../classparselib_1_1primitives_1_1sc__uint.html#af3e0b30a00c97a7f8f1ecef05e691fcb',1,'parselib.primitives.sc_uint.to_str()'],['../classparselib_1_1primitives_1_1sc__int.html#a9700642f1a03892a8b0f994945b408bb',1,'parselib.primitives.sc_int.to_str()'],['../classparselib_1_1primitives_1_1void.html#af40b8b9959ebd662faee7b4f15b282b0',1,'parselib.primitives.void.to_str()'],['../classparselib_1_1primitives_1_1sc__signal.html#a4733ec325d0b86b0f47c94416ab9b7dd',1,'parselib.primitives.sc_signal.to_str()'],['../classparselib_1_1primitives_1_1array.html#abc335a47a3ea2e8d070e47173b527d04',1,'parselib.primitives.array.to_str()'],['../classparselib_1_1primitives_1_1vmodule.html#a2253fab58c5423ccc3376bf555a612ad',1,'parselib.primitives.vmodule.to_str()']]], + ['to_5fstring_6',['to_string',['../namespacesystemc__clang.html#a1b591007cd72b04c83e568db359ca573',1,'systemc_clang']]], + ['tostring_7',['toString',['../classsystemc__clang_1_1TemplateType.html#a32bccb115bc0f74aaad1b0ac624a3f35',1,'systemc_clang::TemplateType::toString()'],['../classsystemc__clang_1_1PortBinding.html#a356024c2075154042aeb19be51dbd197',1,'systemc_clang::PortBinding::toString()'],['../classsystemc__clang_1_1TreeNode.html#af753d344aa7c5f1a8cfdc63ed88714b9',1,'systemc_clang::TreeNode::toString()'],['../namespacesystemc__clang_1_1utils_1_1apint.html#ad2f88d2545e73578964e532225cf3934',1,'systemc_clang::utils::apint::toString()']]], + ['tostringfalsepath_8',['toStringFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a99aac96e9e222cc5526c367dc5fd7801',1,'systemc_clang::SplitCFGPathInfo']]], + ['tostringtruepath_9',['toStringTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad7b78e74f8e5d97e87213f22851b786b',1,'systemc_clang::SplitCFGPathInfo']]], + ['transition_10',['Transition',['../classsystemc__clang_1_1Transition.html#a73b44b2338b11807f77b620a3e810f92',1,'systemc_clang::Transition']]], + ['translate_11',['translate',['../classparselib_1_1transforms_1_1passes_1_1VerilogTranslator.html#a2eec2d3df7b1fd19d194a337ea81a973',1,'parselib::transforms::passes::VerilogTranslator']]], + ['translate_5ftext_12',['translate_text',['../namespacehcode2verilog.html#ae4142b98e4886e860865a8d32de62751',1,'hcode2verilog']]], + ['traversestmt_13',['TraverseStmt',['../classsystemc__hdl_1_1HDLBody.html#a74516f472ce4e35722be7643c053b7b6',1,'systemc_hdl::HDLBody']]], + ['tree_14',['Tree',['../classsystemc__clang_1_1Tree.html#adb24c471fe74bed42d84638c50e95b0d',1,'systemc_clang::Tree::Tree()'],['../classsystemc__clang_1_1Tree.html#aa09bb53338778de7eb9278eb34afe5be',1,'systemc_clang::Tree::Tree(const Tree &from)']]], + ['treenode_15',['TreeNode',['../classsystemc__clang_1_1TreeNode.html#afc5dc71e550a2f1b97f31348faea0a42',1,'systemc_clang::TreeNode::TreeNode(T data)'],['../classsystemc__clang_1_1TreeNode.html#ae4df9acfad4e8734fb344bc36ac3ebbb',1,'systemc_clang::TreeNode::TreeNode(const TreeNode &from)']]] ]; diff --git a/search/functions_13.js b/search/functions_13.js index e607292e..479b22ef 100644 --- a/search/functions_13.js +++ b/search/functions_13.js @@ -1,6 +1,6 @@ var searchData= [ - ['vardecl_0',['vardecl',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ac867b0264e9eacc65e588d753c9f9b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.vardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2b1e717615a9a49cdd50a548ec223ee8',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardecl()']]], + ['vardecl_0',['vardecl',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a719c4cdc9da2c3ba92b1664f10b24ca4',1,'parselib.transforms.sensevar_movement.SensevarMovement.vardecl()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a7ac867b0264e9eacc65e588d753c9f9b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.vardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2b1e717615a9a49cdd50a548ec223ee8',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardecl()']]], ['vardeclinit_1',['vardeclinit',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a4a7a5f8c8f34983a6bdffe60cd0705a2',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.vardeclinit()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a9e6889a7914b0677935e7f851cf0b286',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.vardeclinit()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a037c8c2e006b84a38513e34a113862af',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.vardeclinit()']]], ['visit_2',['visit',['../classsystemc__clang_1_1TreeNode.html#a2ba26cfe21e44b69248dacce03467232',1,'systemc_clang::TreeNode']]], ['visitarraysubscriptexpr_3',['VisitArraySubscriptExpr',['../classsystemc__hdl_1_1HDLBody.html#a6ae286b2c49906b0ad978224ee706498',1,'systemc_hdl::HDLBody']]], diff --git a/search/functions_6.js b/search/functions_6.js index 17af827c..ba654002 100644 --- a/search/functions_6.js +++ b/search/functions_6.js @@ -21,11 +21,11 @@ var searchData= ['findvname_18',['FindVname',['../classsystemc__hdl_1_1HDLBody.html#ae5a81b5fcaa4ada7d3935ccd88c90cff',1,'systemc_hdl::HDLBody']]], ['findwait_19',['FindWait',['../classsystemc__clang_1_1FindWait.html#a4326f7cec82602f055f58f59d5969879',1,'systemc_clang::FindWait']]], ['fire_20',['fire',['../classsystemc__clang_1_1SystemCConsumer.html#a3c77d4b6256d0cae17688fdf10fdfd4c',1,'systemc_clang::SystemCConsumer']]], - ['forbody_21',['forbody',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a75dad3cad177202d2e02ae08819c4de0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['forbody_21',['forbody',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a44f93d50bc99d74bcf09b05ce761371d',1,'parselib.transforms.port_expansion.PortExpansion.forbody()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab8a55af4e0de31b59e96599c68b05154',1,'parselib.transforms.typedef_expansion.TypedefExpansion.forbody()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a75dad3cad177202d2e02ae08819c4de0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.forbody(self, tree)']]], ['forcond_22',['forcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a62e21f4de05fc20aa078fa66140cfb5d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['forinit_23',['forinit',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8ad7be65b2fb81cbee71655766d0ea2d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['forinit_23',['forinit',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#ac3c66cf7a6302946f7d18ab10d4fbbc7',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.forinit()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8ad7be65b2fb81cbee71655766d0ea2d',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.forinit(self, tree)']]], ['forpostcond_24',['forpostcond',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3837bf1f085c1164a8f10314bb68df3f',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['forstmt_25',['forstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1e54e9c42138055d39157ad85482e54a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['forstmt_25',['forstmt',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a03e744c6be27c3226fc2b359bd974335',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.forstmt()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a998f23eccf82cc69e47fe731ca0f31c8',1,'parselib.transforms.sensevar_movement.SensevarMovement.forstmt()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1e54e9c42138055d39157ad85482e54a',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.forstmt()']]], ['foundnode_26',['foundNode',['../classsystemc__clang_1_1Tree.html#ad0240751f2fbff620bb85032e93d694e',1,'systemc_clang::Tree']]], ['func_5fparam_5fname_5fstub_27',['func_param_name_stub',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae7e955e71f58773e621b294c618a1322',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['funcparami_28',['funcparami',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#aa94943d890981e778624715d3f340c50',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.funcparami()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5ecfacd4840312a3d6250e646839683e',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.funcparami()']]], diff --git a/search/functions_7.js b/search/functions_7.js index d3647474..8cac3c57 100644 --- a/search/functions_7.js +++ b/search/functions_7.js @@ -1,247 +1,256 @@ var searchData= [ - ['generate_5fpaths_0',['generate_paths',['../classsystemc__clang_1_1SplitCFG.html#a4824504a131b8dbf592fbe5ff8576643',1,'systemc_clang::SplitCFG']]], - ['generate_5fvname_1',['generate_vname',['../classsystemc__hdl_1_1HDLBody.html#a5b815784cc5f7749a530cb9a457dab82',1,'systemc_hdl::HDLBody']]], - ['generatebinop_2',['GenerateBinop',['../classsystemc__hdl_1_1HDLThread.html#abc8c2579cc04dabf50927d9ca1110849',1,'systemc_hdl::HDLThread']]], - ['generateinstancenames_3',['GenerateInstanceNames',['../classsystemc__hdl_1_1HDLMain.html#aa6274f2f206d1ca25b143ca9e92219c1',1,'systemc_hdl::HDLMain']]], - ['generatesensitivityname_4',['generateSensitivityName',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afa2eb5d64c58309f87ae5fd69afc2437',1,'sc_ast_matchers::SensitivityMatcher']]], - ['generatestateupdate_5',['GenerateStateUpdate',['../classsystemc__hdl_1_1HDLThread.html#a1dd1c8e8b693dafea34f01d26504c39b',1,'systemc_hdl::HDLThread']]], - ['generatestatevar_6',['GenerateStateVar',['../classsystemc__hdl_1_1HDLThread.html#a12eaac12875b4585948320e10621d1f7',1,'systemc_hdl::HDLThread']]], - ['generatetype_7',['generatetype',['../classHDLType.html#ab10cea49909ac746af38024ee2358b7a',1,'HDLType']]], - ['generatewaitcntupdate_8',['GenerateWaitCntUpdate',['../classsystemc__hdl_1_1HDLThread.html#ad0e218b04503718fcd5c672e1a1e55d1',1,'systemc_hdl::HDLThread']]], - ['generic_5fisfound_9',['generic_isFound',['../SuspensionAutomata_8cpp.html#ac484a884be6dbde73c1a5c0fc7b3fe80',1,'SuspensionAutomata.cpp']]], - ['gengsauto_10',['genGSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af343d5bf1434341a724d44faf24cbd2e',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gensauto_11',['genSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a2cd224dcca716d2c10200b3c5be2ca42',1,'systemc_clang::SuspensionAutomata']]], - ['gensuscfg_12',['genSusCFG',['../classsystemc__clang_1_1SuspensionAutomata.html#ad40e6ca40061230817d49243b637be46',1,'systemc_clang::SuspensionAutomata']]], - ['get_5falias_5ftype_5fwith_5finstantiation_13',['get_alias_type_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a23ae5caf961195f23008540ef24da575',1,'parselib::transforms::node::TypeDefType']]], - ['get_5fargv_14',['get_argv',['../namespacesystemc-clang.html#a1481202a60ed77bcbbd2569fd8ccb21d',1,'systemc-clang']]], - ['get_5fcontext_15',['get_context',['../classparselib_1_1primitives_1_1sc__in.html#a47c6fcfb7285c0918e91fbacd7c4e5a1',1,'parselib.primitives.sc_in.get_context()'],['../classparselib_1_1primitives_1_1____func__inout.html#a841b54a74ad9e70435eaff686d8d416e',1,'parselib.primitives.__func_inout.get_context()']]], - ['get_5fcurrent_5find_5fprefix_16',['get_current_ind_prefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aafe4beb8486ea509c03fe845ac71cff0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fmodule_5fvar_5ftype_17',['get_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7641731b52026f82eb5f96abe5979bfe',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fmodule_5fvar_5ftype_5for_5fdefault_18',['get_current_module_var_type_or_default',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a41b2828c1e6acb73c44a5bb8f33ec93e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fproc_5fname_19',['get_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a07b3121225df74a97d4e0fa0a21d975d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcurrent_5fscope_5ftype_20',['get_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a19bf0bb6d8683863c69323a18e9a07b6',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5fcustom_5ftype_21',['get_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a32917b3e87af4369381c2a31b46addc0',1,'parselib::transforms::type_collector::TypeCollector']]], - ['get_5felement_5ftype_22',['get_element_type',['../classparselib_1_1primitives_1_1array.html#a3e18735026d16691581bd45b57b8cd9c',1,'parselib::primitives::array']]], - ['get_5ffields_5fwith_5finstantiation_23',['get_fields_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a55218273d190567157d774b11890a65a',1,'parselib::transforms::node::TypeDefType']]], - ['get_5fids_5fin_5ftree_24',['get_ids_in_tree',['../namespaceparselib_1_1utils.html#a5b48f06484e258e08e9b31b96bbd1e59',1,'parselib::utils']]], - ['get_5fids_5fin_5ftree_5fdfs_25',['get_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#aae0d251da6c925b459a5433e8fd88385',1,'parselib::utils']]], - ['get_5fport_5fbindings_26',['get_port_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#afd907462163f94dc916395ca2008956a',1,'parselib::transforms::type_collector::TypeCollector']]], - ['get_5fprefix_27',['get_prefix',['../classhnode_1_1name__serve.html#a6ade23e83a345931fa0ad7145d1dc923',1,'hnode::name_serve::get_prefix()'],['../classhnode_1_1newname__map__t.html#aa069091237589c4c207faaa6676f9687',1,'hnode::newname_map_t::get_prefix()']]], - ['get_5fprimitive_28',['get_primitive',['../classparselib_1_1primitives_1_1Primitive.html#aa93bba180e53aed40ea4172f38ae5fec',1,'parselib::primitives::Primitive']]], - ['get_5fprimitive_5fname_5fdict_29',['get_primitive_name_dict',['../classparselib_1_1primitives_1_1Primitive.html#a72d2f57df32b0f4fe708041c6aaec509',1,'parselib::primitives::Primitive']]], - ['get_5fsense_5flist_30',['get_sense_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab2b21cdb65f4e11ee97259c236f6ab72',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['get_5ftype_31',['get_type',['../classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html#a60ff00ad22dda62cee23e0b8aefb5c3a',1,'parselib::transforms::top_down::VariableBindings']]], - ['getallbaseclasses_32',['getAllBaseClasses',['../namespacesc__ast__matchers_1_1utils.html#a01265a86f775f3e9e458372f52ef031c',1,'sc_ast_matchers::utils']]], - ['getallbaseclassnames_33',['getAllBaseClassNames',['../namespacesc__ast__matchers_1_1utils.html#a9783053a358476470de028a34baed544',1,'sc_ast_matchers::utils']]], - ['getallpathinfo_34',['getAllPathInfo',['../classsystemc__clang_1_1SplitCFG.html#a9f9fe184f38df0e3684248a18920569d',1,'systemc_clang::SplitCFG']]], - ['getargfields_35',['getArgFields',['../classTemplateParametersMatcher.html#af9dc96194c8ff2e21994bcf37a1f3c41',1,'TemplateParametersMatcher']]], - ['getarglist_36',['getArgList',['../classsystemc__clang_1_1NotifyContainer.html#ae81acefda1a851a62a5536b7c35a8c49',1,'systemc_clang::NotifyContainer::getArgList()'],['../classsystemc__clang_1_1WaitContainer.html#ad3f5160b60f554caa6f0b388db241722',1,'systemc_clang::WaitContainer::getArgList()']]], - ['getargstring_37',['getArgString',['../classsystemc__clang_1_1NotifyContainer.html#a3b11056c35cdbca21b1babd231e9a57d',1,'systemc_clang::NotifyContainer::getArgString()'],['../classsystemc__clang_1_1WaitContainer.html#a382669e24b21f47293c076edb476f59f',1,'systemc_clang::WaitContainer::getArgString()']]], - ['getargumentname_38',['getArgumentName',['../classsystemc__clang_1_1FindArgument.html#aed1288c3f991e56b20c161328f4aee37',1,'systemc_clang::FindArgument::getArgumentName()'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6f133dd6587f8374c3f3cd54d4d7e881',1,'systemc_clang::GlobalSuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a20267391120384f5f3473a224716c468',1,'systemc_clang::SuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1Utility.html#a2b89b2bd4d7a3f10974b8904c1798921',1,'systemc_clang::Utility::getArgumentName()']]], - ['getarraydimension_39',['getArrayDimension',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a04ffe18b47e0526bc72d523764f9cf01',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getarrayinstanceindex_40',['getArrayInstanceIndex',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a8caeed19d064a60af5447e3b3b2bc7b7',1,'sc_ast_matchers::utils::array_type']]], - ['getarraymemberexprname_41',['getArrayMemberExprName',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#affa9a73bdbbac2e524a3e6babc1cbfd3',1,'sc_ast_matchers::utils::array_type']]], - ['getarrayparameters_42',['getArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a28162f2cd35d5d9f58bb6f6114ba3664',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getarraysizes_43',['getArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a31ec55da91eed85530fcb927202e0854',1,'sc_ast_matchers::ModuleInstanceType::getArraySizes()'],['../classsystemc__clang_1_1PortDecl.html#abbde883d313575e5ed15ee816a4947a5',1,'systemc_clang::PortDecl::getArraySizes()']]], - ['getarraysubscripts_44',['getArraySubscripts',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#aaceb5ab834462ecaf2da90c3b1da1794',1,'sc_ast_matchers::utils::array_type']]], - ['getarraytype_45',['getArrayType',['../classsystemc__clang_1_1PortDecl.html#a43ca64dccbd062324c2abc5963154546',1,'systemc_clang::PortDecl']]], - ['getascxxrecorddecl_46',['getAsCXXRecordDecl',['../classsystemc__clang_1_1FindConstructor.html#a17136833a5c8cad1d873d2bb84a150cb',1,'systemc_clang::FindConstructor']]], - ['getasfielddecl_47',['getAsFieldDecl',['../classsystemc__clang_1_1PortDecl.html#a92bfdf72e9248a8a590a2b027df750d2',1,'systemc_clang::PortDecl']]], - ['getastnode_48',['getASTNode',['../classsystemc__clang_1_1EventContainer.html#aea0cda4c60b882813cb49d5f70f5549b',1,'systemc_clang::EventContainer::getASTNode()'],['../classsystemc__clang_1_1EventDecl.html#a91e2244638f1ce2d7b90eb9a836e3f81',1,'systemc_clang::EventDecl::getASTNode()'],['../classsystemc__clang_1_1NotifyContainer.html#a645f34bea02fe0e3d770696a2da1b910',1,'systemc_clang::NotifyContainer::getASTNode()'],['../classsystemc__clang_1_1SignalDecl.html#a66b8f2838d8af4f850c87e58ad1fd295',1,'systemc_clang::SignalDecl::getASTNode()'],['../classsystemc__clang_1_1WaitContainer.html#a6dcbd3a3b98c33634f3a17075245acd1',1,'systemc_clang::WaitContainer::getASTNode()']]], - ['getasvardecl_49',['getAsVarDecl',['../classsystemc__clang_1_1PortDecl.html#a2500d6bc9d55fc9ebd369958b262f514',1,'systemc_clang::PortDecl']]], - ['getbaseinstances_50',['getBaseInstances',['../classsystemc__clang_1_1ModuleInstance.html#aa5bbdf019fe555d3155c9ffb110a9bc6',1,'systemc_clang::ModuleInstance']]], - ['getblock_51',['getBlock',['../classsystemc__clang_1_1SusCFG.html#a5896f7a1e1132bfea080ff478698f8c1',1,'systemc_clang::SusCFG']]], - ['getblockid_52',['getBlockID',['../classsystemc__clang_1_1SplitCFGBlock.html#a9ab1b3f2cc94ffb3b5951dfb5e6d72d6',1,'systemc_clang::SplitCFGBlock::getBlockID()'],['../classsystemc__clang_1_1SusCFG.html#a87b1bd459e23e5a90f714167047d9def',1,'systemc_clang::SusCFG::getBlockID()']]], - ['getblockidx_53',['getBlockIdx',['../classsystemc__clang_1_1GPUMacro.html#aa93a604a0d682d38ea524d6ee2bc79d6',1,'systemc_clang::GPUMacro']]], - ['getblockidy_54',['getBlockIdy',['../classsystemc__clang_1_1GPUMacro.html#ad2c027b2c20fe2eafb882b4087ff265e',1,'systemc_clang::GPUMacro']]], - ['getblockidz_55',['getBlockIdz',['../classsystemc__clang_1_1GPUMacro.html#ace526615dea7ed936e25a6ecf93ea138',1,'systemc_clang::GPUMacro']]], - ['getcalleearraysubscripts_56',['getCalleeArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#a7da5517adff2b424a37aa0a9c8ea4bba',1,'systemc_clang::PortBinding']]], - ['getcalleeinstancename_57',['getCalleeInstanceName',['../classsystemc__clang_1_1PortBinding.html#a1376ee922434c1d318027bf5623c936b',1,'systemc_clang::PortBinding']]], - ['getcalleeportname_58',['getCalleePortName',['../classsystemc__clang_1_1PortBinding.html#a056c88fb1c71198441be3779e9c1ff12',1,'systemc_clang::PortBinding']]], - ['getcallerarraysubscripts_59',['getCallerArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#aa0ad3a4de12bf03fd0382f0f8fb2c0dc',1,'systemc_clang::PortBinding']]], - ['getcallercallee_60',['getCallerCallee',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#a8ada1a118d02f99757cd6d4919d4a165',1,'sc_ast_matchers::CallerCalleeMatcher']]], - ['getcallerinstancename_61',['getCallerInstanceName',['../classsystemc__clang_1_1PortBinding.html#a4bd0428a8f23be87f89e7cda084139a3',1,'systemc_clang::PortBinding']]], - ['getcallerinstancetypename_62',['getCallerInstanceTypeName',['../classsystemc__clang_1_1PortBinding.html#a31718873d60c8a69ef3357b0fc1d3fca',1,'systemc_clang::PortBinding']]], - ['getcallermemberexpr_63',['getCallerMemberExpr',['../classsystemc__clang_1_1PortBinding.html#af965152cec89e6203a0b8c2aa4669cdf',1,'systemc_clang::PortBinding']]], - ['getcallerportarraysubscripts_64',['getCallerPortArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#ad83753efdd44051cfa710bfa00d57084',1,'systemc_clang::PortBinding']]], - ['getcallerportname_65',['getCallerPortName',['../classsystemc__clang_1_1PortBinding.html#af2aa9c5d2455ab06f1661cd76ded189f',1,'systemc_clang::PortBinding']]], - ['getcfgblock_66',['getCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html#a6694778d50cad2c763c7d81522d6a359',1,'systemc_clang::SplitCFGBlock']]], - ['getchildblocklist_67',['getChildBlockList',['../classsystemc__clang_1_1SusCFG.html#a6aa20b36799667e001b7633a8790e43a',1,'systemc_clang::SusCFG']]], - ['getchildren_68',['getChildren',['../classsystemc__clang_1_1Tree.html#a970c6343c7021e22d7b7fb5fb3fc89a4',1,'systemc_clang::Tree']]], - ['getclassnamefromdecl_69',['getClassNameFromDecl',['../namespacesc__ast__matchers_1_1utils.html#ac6934ca2b8299e80ee1a99e7c38b0535',1,'sc_ast_matchers::utils']]], - ['getclockports_70',['getClockPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a0ad4061f0b89541c2291e657c0bc1fed',1,'sc_ast_matchers::PortMatcher']]], - ['getconfluenceblocks_71',['getConfluenceBlocks',['../classsystemc__clang_1_1SplitCFG.html#acfeba58a804b2b61d7b94a9458c7df33',1,'systemc_clang::SplitCFG']]], - ['getconstantarraysizes_72',['getConstantArraySizes',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a7c57428836f641635c3d9bef101f81c0',1,'sc_ast_matchers::utils::array_type']]], - ['getconstructordecl_73',['getConstructorDecl',['../classsystemc__clang_1_1FindConstructor.html#a21fbf7421f588f2b35d4b3ea51345ca2',1,'systemc_clang::FindConstructor::getConstructorDecl()'],['../classsystemc__clang_1_1ModuleInstance.html#a9d2e6ca05a0887949c41c6f0d65f477b',1,'systemc_clang::ModuleInstance::getConstructorDecl()']]], - ['getconstructorstmt_74',['getConstructorStmt',['../classsystemc__clang_1_1FindConstructor.html#a77820daaffd189affdc07bc4ec623e5c',1,'systemc_clang::FindConstructor::getConstructorStmt()'],['../classsystemc__clang_1_1ModuleInstance.html#a4f25ebe3f9749062e64f3c5246ae5991',1,'systemc_clang::ModuleInstance::getConstructorStmt()']]], - ['getcontext_75',['getContext',['../classsystemc__clang_1_1SystemCConsumer.html#ad83b670a41e54b55954ec9fa305dce99',1,'systemc_clang::SystemCConsumer']]], - ['getcputime_76',['getCPUTime',['../classsystemc__clang_1_1GPUMacro.html#abe0492628920eac96ed31fac90193917',1,'systemc_clang::GPUMacro']]], - ['getdata_77',['getData',['../classsystemc__clang_1_1TreeNode.html#a1b2ccf587f21fe34d12d59a97c9308b6',1,'systemc_clang::TreeNode']]], - ['getdataptr_78',['getDataPtr',['../classsystemc__clang_1_1TreeNode.html#afccbbc5b1e0642f6cb19bd72953a18a1',1,'systemc_clang::TreeNode']]], - ['getedge_79',['getEdge',['../classsystemc__clang_1_1Graph.html#a8a750db74890cad002240e0734da43d9',1,'systemc_clang::Graph::getEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a46614dc9af2eeea6ae6d7bcbf700f73d',1,'systemc_clang::Graph::getEdge(int, int)']]], - ['getedgeid_80',['getEdgeID',['../classsystemc__clang_1_1Graph.html#a2c243b93d640a155b9b885b46c6d4dba',1,'systemc_clang::Graph::getEdgeID(Edge *)'],['../classsystemc__clang_1_1Graph.html#af4e3ee0614273a2609a098c318cbefbc',1,'systemc_clang::Graph::getEdgeID(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a5b37cba4d4dc7353196acf907145710f',1,'systemc_clang::Graph::getEdgeID(int, int)']]], - ['getedgesfromdest_81',['getEdgesFromDest',['../classsystemc__clang_1_1Graph.html#abc844b7bc921741a0dc6575c31b22478',1,'systemc_clang::Graph::getEdgesFromDest(int)'],['../classsystemc__clang_1_1Graph.html#aca79bee6b172c861e80f6f757e90095b',1,'systemc_clang::Graph::getEdgesFromDest(Node *)']]], - ['getedgesfromsource_82',['getEdgesFromSource',['../classsystemc__clang_1_1Graph.html#a27fde3fe501aa414188b09f84ac6a923',1,'systemc_clang::Graph::getEdgesFromSource(int)'],['../classsystemc__clang_1_1Graph.html#a2a91f74bfc51cf689f49f4cadc5b7eca',1,'systemc_clang::Graph::getEdgesFromSource(Node *)']]], - ['getelements_83',['getElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a4a51715ef5589ee1f15d9a954dfcb253',1,'systemc_clang::SplitCFGBlock']]], - ['getentrycxxrecorddecl_84',['getEntryCXXRecordDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#ac446832a87870fc13179e8b713574081',1,'systemc_clang::FindEntryFunctions']]], - ['getentryfunction_85',['getEntryFunction',['../classsystemc__clang_1_1ProcessDecl.html#ad6d08ebd6ae33a0d3b22e2a73b28abbb',1,'systemc_clang::ProcessDecl']]], - ['getentryfunctioncontainer_86',['getEntryFunctionContainer',['../classsystemc__clang_1_1ModuleInstance.html#af1940f1279d238e1f0105724e1a45fab',1,'systemc_clang::ModuleInstance']]], - ['getentryfunctiongpumacromap_87',['getEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#aa0c07dfe1669cce2d0da6869fc2eef88',1,'systemc_clang::Model']]], - ['getentryfunctionmacromap_88',['getEntryFunctionMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5c29b2d9a82908fc99212b66a31dd385',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getentryfunctions_89',['getEntryFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#af7a21991fe8d8e3b5789c28dcb4001bc',1,'systemc_clang::FindEntryFunctions']]], - ['getentrymethod_90',['getEntryMethod',['../classsystemc__clang_1_1FindNotify.html#a90a52c785b20f668e81735395fe215ad',1,'systemc_clang::FindNotify::getEntryMethod()'],['../classsystemc__clang_1_1FindWait.html#a581ee769249059fac4a9a7a8b488268b',1,'systemc_clang::FindWait::getEntryMethod()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a86410e2fd78a84d07855d2ad05696839',1,'systemc_clang::EntryFunctionContainer::getEntryMethod()'],['../classsystemc__clang_1_1NotifyContainer.html#a6e89aa20250b34664883255c056f38af',1,'systemc_clang::NotifyContainer::getEntryMethod()'],['../classsystemc__clang_1_1WaitContainer.html#a4937c32be15f2cfa02fcf500afea2464',1,'systemc_clang::WaitContainer::getEntryMethod()']]], - ['getentrymethoddecl_91',['getEntryMethodDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#aa0f2f247b3a02230180881351537a0a5',1,'systemc_clang::FindEntryFunctions::getEntryMethodDecl()'],['../classsystemc__clang_1_1ProcessDecl.html#ad036d278d1641de2ae93e508ac08d76a',1,'systemc_clang::ProcessDecl::getEntryMethodDecl()']]], - ['getentryname_92',['getEntryName',['../classsystemc__clang_1_1FindEntryFunctions.html#ab69b3a5704295d3655b6f77405506b1d',1,'systemc_clang::FindEntryFunctions']]], - ['getevent_93',['getEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#adf39018ee2f86a522a3e81f12fbb429e',1,'systemc_clang::SuspensionAutomata']]], - ['geteventmap_94',['getEventMap',['../classsystemc__clang_1_1FindGlobalEvents.html#a281cab7140c2ede50bfc886adabf240e',1,'systemc_clang::FindGlobalEvents']]], - ['geteventmaptype_95',['getEventMapType',['../classsystemc__clang_1_1Model.html#a2b9df68d993f0d43a194a7a1885d6565',1,'systemc_clang::Model']]], - ['geteventname_96',['getEventName',['../classsystemc__clang_1_1EventContainer.html#a5732df92c0503f829e00c37fbbbd867e',1,'systemc_clang::EventContainer::getEventName()'],['../classsystemc__clang_1_1State.html#a2bc5bfac607d8519452737899137b4c0',1,'systemc_clang::State::getEventName()']]], - ['geteventnames_97',['getEventNames',['../classsystemc__clang_1_1FindEvents.html#abc963179eed24f8a7bf2e79d1b50e08b',1,'systemc_clang::FindEvents::getEventNames()'],['../classsystemc__clang_1_1FindGlobalEvents.html#a01ea433758be4c3b697b7174b61a4a0f',1,'systemc_clang::FindGlobalEvents::getEventNames()']]], - ['getfalseid_98',['getFalseId',['../structsystemc__clang_1_1SupplementaryInfo.html#a4b71b21bd797c5134f3651649f4bf12c',1,'systemc_clang::SupplementaryInfo']]], - ['getfalselength_99',['GetFalseLength',['../classsystemc__hdl_1_1HDLThread.html#a15f420713968bb596598f220a41d4fa8',1,'systemc_hdl::HDLThread']]], - ['getfalsepath_100',['getFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a5fac27cb9292450b70d01a9c52d820f8',1,'systemc_clang::SplitCFGPathInfo']]], - ['getfielddecls_101',['getFieldDecls',['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#a01800d7c7ee463632ab2ed7431083696',1,'sc_ast_matchers::FindMemberFieldMatcher']]], - ['getfields_102',['getFields',['../classTemplateParametersMatcher.html#a4ae346305db4ff1dde8a5bf873daca48',1,'TemplateParametersMatcher']]], - ['getforstmtgpumacromap_103',['getForStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a3f0922dcab5a57b789e4775040a6eb09',1,'systemc_clang::FindGPUMacro']]], - ['getfoundmoduledeclarations_104',['getFoundModuleDeclarations',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a2624f76f8f343fb607364da16287b5ce',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['getfromid_105',['getFromId',['../classsystemc__clang_1_1Edge.html#a8649bc1063d1be9387d3909b0ba36960',1,'systemc_clang::Edge']]], - ['getfunctionname_106',['getFunctionName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#aedbf10db1bd1341f8758beea7bd08ec8',1,'sc_ast_matchers::utils::NamespaceMatcher']]], - ['getglobalsauto_107',['getGlobalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae953d95b5a85a8b6593bbabea84066f6',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getgputime_108',['getGPUTime',['../classsystemc__clang_1_1GPUMacro.html#aa6a4895dd19fc7b3000cf3b280899967',1,'systemc_clang::GPUMacro']]], - ['getgsauto_109',['getGSauto',['../classsystemc__clang_1_1Model.html#a251e194b6a43ca2fb10fd792826c02d2',1,'systemc_clang::Model::getGSauto()'],['../classTimeAnalysis.html#a1136dd058565f4952d4182fb321971ac',1,'TimeAnalysis::getGSauto()']]], - ['getid_110',['getId',['../classsystemc__clang_1_1Node.html#a3c7b8ccf4dab44216b997c5f63f11d9f',1,'systemc_clang::Node::getId()'],['../classsystemc__clang_1_1Edge.html#abfe372bacca411d0b654a0c83bed8b69',1,'systemc_clang::Edge::getId()']]], - ['getiinterfaces_111',['getIInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a8a65771018618ea912ea464b91a02df0',1,'systemc_clang::ModuleInstance']]], - ['getinclassevents_112',['getInClassEvents',['../classsystemc__clang_1_1FindEvents.html#aec2eecc4a7f729aba15fbcd8095a757f',1,'systemc_clang::FindEvents']]], - ['getincomingtransitions_113',['getIncomingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a04b297442b832b6ac447b37301a351d5',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getinoutports_114',['getInOutPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a52705757b2d269c595a82cbcfbf222da',1,'sc_ast_matchers::PortMatcher']]], - ['getinputinterfaces_115',['getInputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a4d4c18ed22cb13db65adf9f227216449',1,'systemc_clang::FindTLMInterfaces']]], - ['getinputoutputinterfaces_116',['getInputOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#abbd673e1caef50580434b32dccf739b5',1,'systemc_clang::FindTLMInterfaces']]], - ['getinputports_117',['getInputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a9aaa481ddbedcc55bfa05e3b1ad70025',1,'sc_ast_matchers::PortMatcher']]], - ['getinputstreamports_118',['getInputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a33b8250042cc1a9e7f03814d0da4cfc6',1,'sc_ast_matchers::PortMatcher::getInputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a73225cd120f34fc75751ddc3f238fbec',1,'systemc_clang::ModuleInstance::getInputStreamPorts()']]], - ['getinstance_119',['getInstance',['../classsystemc__clang_1_1Model.html#aaa520d4751e3356adf95d22da04efb3b',1,'systemc_clang::Model::getInstance(const std::string &instance_name)'],['../classsystemc__clang_1_1Model.html#a38145f5de77de05c68841ea62ea8fb2e',1,'systemc_clang::Model::getInstance(Decl *instance_decl)']]], - ['getinstanceconstructorname_120',['getInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a87d73335daf2c006d847c91dd4cfb55b',1,'systemc_clang::PortBinding']]], - ['getinstancedecl_121',['getInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a93c98dd130f7c4d3209c08a94c766bc9',1,'systemc_clang::ModuleInstance::getInstanceDecl()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#aacd84f77e724125efd006bd06ee2dadd',1,'sc_ast_matchers::ModuleInstanceType::getInstanceDecl()']]], - ['getinstancefielddecl_122',['getInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2ed203f154013a3e20e7cee3091d6d3c',1,'systemc_clang::ModuleInstance']]], - ['getinstancefunctionsautomap_123',['getInstanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8d0d88d3ae3c4ecd0c8b09d324b27b6c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getinstanceinfo_124',['getInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#a8b088227c84f35c712c7776a0d281dfb',1,'systemc_clang::ModuleInstance']]], - ['getinstancelist_125',['getInstanceList',['../classsystemc__clang_1_1ModuleInstance.html#ab2c3b23d75606ee05f3ba6a07a95da2f',1,'systemc_clang::ModuleInstance']]], - ['getinstancelistmodulemap_126',['getInstanceListModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a535e45334a681317911a5b3d9e1d6f0e',1,'systemc_clang::FindNetlist']]], - ['getinstanceliteral_127',['getInstanceLiteral',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a13c05a3f41b6c3cb7fa3586eb17e7300',1,'sc_ast_matchers::InstanceArgumentMatcher']]], - ['getinstancemap_128',['getInstanceMap',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae5f29389e22482e77f7b28b5b68efb9f',1,'sc_ast_matchers::InstanceMatcher']]], - ['getinstancematcher_129',['getInstanceMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a63b5effd9522f040e7707578543e6e4a',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['getinstancemodulemap_130',['getInstanceModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a8d35235664b5b29582fd67105e7f6557',1,'systemc_clang::FindNetlist']]], - ['getinstancename_131',['getInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a8c053ec6f0756cef1f68102ef1d9f145',1,'systemc_clang::ModuleInstance']]], - ['getinstancenames_132',['getInstanceNames',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a1d1199df1b439afa704b127d072ae859',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getinstanceportsignalmap_133',['getInstancePortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a118b0dba9c558682f329ee385481baad',1,'systemc_clang::FindNetlist']]], - ['getinstances_134',['getInstances',['../classsystemc__clang_1_1Model.html#ac1ad5e0f23656450e2a8183f6d7889c4',1,'systemc_clang::Model']]], - ['getinstancesautomap_135',['getInstanceSautoMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a53cf16189b7e335b1a6fc63eb3ea5bac',1,'systemc_clang::EntryFunctionContainer']]], - ['getinstancesuscfgmap_136',['getInstanceSusCFGMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a6658d0969d8077a72f3fa061910abf39',1,'systemc_clang::EntryFunctionContainer']]], - ['getinstancetype_137',['getInstanceType',['../classsystemc__clang_1_1PortBinding.html#a809da824a6c1db091a09c383609eeda9',1,'systemc_clang::PortBinding']]], - ['getinstancetypedecl_138',['getInstanceTypeDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a0079713b8619693c428a7aa7624ecda2',1,'sc_ast_matchers::ModuleInstanceType::getInstanceTypeDecl()'],['../classsystemc__clang_1_1PortBinding.html#aca6f62504ab4bf4cf2f0a2f85e114e38',1,'systemc_clang::PortBinding::getInstanceTypeDecl()']]], - ['getinstancevardecl_139',['getInstanceVarDecl',['../classsystemc__clang_1_1ModuleInstance.html#ac33228f71472ad6a5a2247f74bb81757',1,'systemc_clang::ModuleInstance']]], - ['getinstancevarname_140',['getInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#a997d661b3daac03cbd5dca6f04009a37',1,'systemc_clang::PortBinding']]], - ['getiointerfaces_141',['getIOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#ad74d4af3ead7efed17920104b7b81cc0',1,'systemc_clang::ModuleInstance']]], - ['getioports_142',['getIOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43aa2538cb4a240f89e395336009dc0a',1,'systemc_clang::ModuleInstance']]], - ['getiports_143',['getIPorts',['../classsystemc__clang_1_1ModuleInstance.html#af63180850f4b93df82425e5e34a96aa0',1,'systemc_clang::ModuleInstance']]], - ['getmemberarraysubscriptexpr_144',['getMemberArraySubscriptExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a5156ccf8a27e610c7e8fa1c1660f7d96',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['getmemberexprcallexpr_145',['getMemberExprCallExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a4946d92d04a0c8d4a6362bbf7f9cca49',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['getmemberexprwithoutcall_146',['getMemberExprWithoutCall',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a26a38441e8ee2bd68081f450b593d0ca',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], - ['getmoduleclassdecl_147',['getModuleClassDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2b475e9a754dcdf0d0cd905dcceb5ff4',1,'systemc_clang::ModuleInstance']]], - ['getmoduleinitializernames_148',['getModuleInitializerNames',['../namespacesc__ast__matchers_1_1utils.html#a5cf3a35398c44b8bfdcad5d92f8cfcf9',1,'sc_ast_matchers::utils']]], - ['getname_149',['getname',['../classhnode_1_1hNode.html#a3a514db7343d00d4371a07a4714d5ad1',1,'hnode::hNode']]], - ['getname_150',['getName',['../classsystemc__clang_1_1EntryFunctionContainer.html#a8c4e6135b1fc18475ffd1ed6351925ea',1,'systemc_clang::EntryFunctionContainer::getName()'],['../classsystemc__clang_1_1EventDecl.html#a0bd62f5c6e79ccf9ea234f974858c18d',1,'systemc_clang::EventDecl::getName()'],['../classsystemc__clang_1_1InterfaceDecl.html#ab3ebb6eb42b3dc53169436ef3cc7d1d9',1,'systemc_clang::InterfaceDecl::getName()'],['../classsystemc__clang_1_1ModuleInstance.html#a6f7bac0c669433c2cf4ea2846b43606c',1,'systemc_clang::ModuleInstance::getName()'],['../classsystemc__clang_1_1NotifyCalls.html#a2a85db2b0d49a7847def4287b11f64c4',1,'systemc_clang::NotifyCalls::getName()'],['../classsystemc__clang_1_1PortDecl.html#a407dcd68862014e89d9f9666e4dc6231',1,'systemc_clang::PortDecl::getName()'],['../classsystemc__clang_1_1ProcessDecl.html#a2152f18924607183c687554f616ee945',1,'systemc_clang::ProcessDecl::getName()'],['../classsystemc__clang_1_1SignalDecl.html#ae0d63c75effbde4b0547794725d6e01f',1,'systemc_clang::SignalDecl::getName()'],['../classsystemc__clang_1_1WaitCalls.html#a3bd60c79084a4db2d5d0e53a938309f1',1,'systemc_clang::WaitCalls::getName()']]], - ['getnamespacename_151',['getNamespaceName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#abfc484d445f420770ec35d65ab977d3c',1,'sc_ast_matchers::utils::NamespaceMatcher']]], - ['getnestedmoduleinstances_152',['getNestedModuleInstances',['../classsystemc__clang_1_1ModuleInstance.html#a58b6305e7ca18d172ddbb9887b06d572',1,'systemc_clang::ModuleInstance']]], - ['getnextstate_153',['getNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a24215fc4634952cb7061e344dbea2a02',1,'systemc_clang::SplitCFGBlock']]], - ['getnode_154',['getNode',['../classsystemc__clang_1_1Graph.html#a7bd159419fd64439460675934b6a998e',1,'systemc_clang::Graph']]], - ['getnodeid_155',['getNodeID',['../classsystemc__clang_1_1Graph.html#a1a7dd9bf6fae1e4313e953da79879547',1,'systemc_clang::Graph']]], - ['getnotifycalllist_156',['getNotifyCallList',['../classsystemc__clang_1_1FindNotify.html#aea9758772262f7d6600895170e250d0d',1,'systemc_clang::FindNotify::getNotifyCallList()'],['../classsystemc__clang_1_1NotifyCalls.html#a04b92e1b2cf254c553ef603a3096e17a',1,'systemc_clang::NotifyCalls::getNotifyCallList()']]], - ['getnotifycalls_157',['getNotifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9936135c483e438c70202bbd7e8371de',1,'systemc_clang::EntryFunctionContainer']]], - ['getnotifyeventname_158',['getNotifyEventName',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a7961bf67e1bf59f3c41c8a52f3de8c66',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getnumargs_159',['getNumArgs',['../classsystemc__clang_1_1NotifyContainer.html#a7cfba08630a3b6647c609e10affd1e76',1,'systemc_clang::NotifyContainer::getNumArgs()'],['../classsystemc__clang_1_1WaitContainer.html#ae43e006099b1af0653cfd8275290e7c1',1,'systemc_clang::WaitContainer::getNumArgs()']]], - ['getnumevents_160',['getNumEvents',['../classsystemc__clang_1_1Model.html#ad355f8ff01f39fd6338f65dc5c106a05',1,'systemc_clang::Model']]], - ['getnuminstances_161',['getNumInstances',['../classsystemc__clang_1_1FindNetlist.html#ad9356ee3634a7ab8f6df78eb952cc374',1,'systemc_clang::FindNetlist::getNumInstances()'],['../classsystemc__clang_1_1ModuleInstance.html#ae850438fbe4698f80e35ab3d343472bd',1,'systemc_clang::ModuleInstance::getNumInstances()']]], - ['getnumnotifycalls_162',['getNumNotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html#a31dceb473a9f326f61da1e8b20b6d908',1,'systemc_clang::NotifyCalls']]], - ['getnumofelements_163',['getNumOfElements',['../classsystemc__clang_1_1SplitCFGBlock.html#af9665339766ba12d9ecc772ee78be8ae',1,'systemc_clang::SplitCFGBlock']]], - ['getointerfaces_164',['getOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a78afbabd5f4e05572feab136402c3c1e',1,'systemc_clang::ModuleInstance']]], - ['getopc_165',['getopc',['../classhnode_1_1hNode.html#a2321b495c12ccc2cb4aa002fb3b01371',1,'hnode::hNode']]], - ['getoports_166',['getOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43eba79f18e8c170fbad6b1f58497e0e',1,'systemc_clang::ModuleInstance']]], - ['getotherfunctions_167',['getOtherFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#a6f7589522be2c6e22585a950afc07d73',1,'systemc_clang::FindEntryFunctions']]], - ['getothervars_168',['getOtherVars',['../classsc__ast__matchers_1_1PortMatcher.html#a1b9e80abf23554b2ea5fbc5e014197c7',1,'sc_ast_matchers::PortMatcher::getOtherVars()'],['../classsystemc__clang_1_1ModuleInstance.html#a0e3b99f52a403a903ce960b75da353c2',1,'systemc_clang::ModuleInstance::getOtherVars()']]], - ['getoutgoingtransitions_169',['getOutgoingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f17d7f6c359ed983d9d7a4ef643f904',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getoutputinterfaces_170',['getOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#af1af50f52696772f60128dd5311e987e',1,'systemc_clang::FindTLMInterfaces']]], - ['getoutputports_171',['getOutputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#aa605f5aced640939f0152311d221d009',1,'sc_ast_matchers::PortMatcher']]], - ['getoutputstreamports_172',['getOutputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a6fdcd78f0d6757dedaaef047f7432333',1,'sc_ast_matchers::PortMatcher::getOutputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a5f1fafe86119ded33a0677ba4b3546c4',1,'systemc_clang::ModuleInstance::getOutputStreamPorts()']]], - ['getparent_173',['getParent',['../classsystemc__clang_1_1TreeNode.html#a2ce70274be535f34483bd969ebf32f93',1,'systemc_clang::TreeNode']]], - ['getparentblockid_174',['getParentBlockID',['../classsystemc__clang_1_1SusCFG.html#ac9b31dde5f5087e9c0d8c21fb6ef203c',1,'systemc_clang::SusCFG']]], - ['getparentcfgblock_175',['getParentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a6566e476db1533a07d8743c2edc91626',1,'systemc_clang::SusCFG']]], - ['getparentdecl_176',['getParentDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a324d09869eef75753463a529e32d6929',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getparentsuscfgblock_177',['getParentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a62f906a5658d0a1eea0c3af5900a60cf',1,'systemc_clang::SusCFG']]], - ['getparmfields_178',['getParmFields',['../classTemplateParametersMatcher.html#a7883f29397d44c75d482ddf4ac5a6381',1,'TemplateParametersMatcher']]], - ['getpathid_179',['getPathId',['../structsystemc__clang_1_1SupplementaryInfo.html#a3c56e04cec0cd4487d324f7b84a06f03',1,'systemc_clang::SupplementaryInfo']]], - ['getpathinfo_180',['getPathInfo',['../classsystemc__clang_1_1SplitCFG.html#abc24a2c133cd24e636f271b8077bed10',1,'systemc_clang::SplitCFG']]], - ['getpathsfound_181',['getPathsFound',['../classsystemc__clang_1_1SplitCFG.html#a78cd9aa887a86043285e036615422f5a',1,'systemc_clang::SplitCFG']]], - ['getportbindings_182',['getPortBindings',['../classsystemc__clang_1_1ModuleInstance.html#a73b6367395708b4e5bc1383c9b450900',1,'systemc_clang::ModuleInstance']]], - ['getportname_183',['getPortName',['../classsystemc__clang_1_1PortBinding.html#a13f4df2268461d2eb2923c81d7fb3cb6',1,'systemc_clang::PortBinding']]], - ['getports_184',['getPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a001d61bbd199579a5320475576cf11c8',1,'sc_ast_matchers::PortMatcher']]], - ['getportsignalmap_185',['getPortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a51222b712d7b801d2e4bbf277e85b834',1,'systemc_clang::FindNetlist']]], - ['getpredblocks_186',['getPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a84e8585af04867b898f585ec34ce7c05',1,'systemc_clang::SusCFG']]], - ['getpredecessors_187',['getPredecessors',['../classsystemc__clang_1_1SplitCFGBlock.html#a7eb992dbf07d5f0c847557616fdc828b',1,'systemc_clang::SplitCFGBlock::getPredecessors()'],['../classsystemc__clang_1_1Node.html#a98cc154cafe1a949c40a72639484a43f',1,'systemc_clang::Node::getPredecessors()']]], - ['getprocessmap_188',['getProcessMap',['../classsystemc__clang_1_1ModuleInstance.html#ae167b8a6c5d9841e4d2ef6663ce6b68d',1,'systemc_clang::ModuleInstance']]], - ['getprocesstype_189',['getProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad8229147a1e4fa479126e3761da2f202',1,'systemc_clang::EntryFunctionContainer']]], - ['getresetedge_190',['getResetEdge',['../classsc__ast__matchers_1_1ResetMatcher.html#a48c7726953c5bd9c208e18fc0bf6527a',1,'sc_ast_matchers::ResetMatcher::getResetEdge()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a714a2d96be7908757edd8c5f191217b8',1,'systemc_clang::EntryFunctionContainer::getResetEdge()']]], - ['getresetsignal_191',['getResetSignal',['../classsc__ast__matchers_1_1ResetMatcher.html#a110961715abcab3a73678f18e7634b63',1,'sc_ast_matchers::ResetMatcher::getResetSignal()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a2fda18f63ad9fc844cd7ef75639fd315',1,'systemc_clang::EntryFunctionContainer::getResetSignal()']]], - ['getresettype_192',['getResetType',['../classsc__ast__matchers_1_1ResetMatcher.html#a2c5f286bc44a932a918f1eadb061cb75',1,'sc_ast_matchers::ResetMatcher']]], - ['getroot_193',['getRoot',['../classsystemc__clang_1_1Tree.html#a968907782bf4b8a7850b5ad12099ce37',1,'systemc_clang::Tree']]], - ['getrootmoduleinstance_194',['getRootModuleInstance',['../classsystemc__clang_1_1Model.html#a04cfa4254df094161b4f8672d6fbac90',1,'systemc_clang::Model']]], - ['getsauto_195',['getSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a295186ac19f69fe8bf49b502e70a25bd',1,'systemc_clang::SuspensionAutomata']]], - ['getscmainfunctiondecl_196',['getSCMainFunctionDecl',['../classsystemc__clang_1_1FindSCMain.html#a2c762425466550af80fef688fa3d2c35',1,'systemc_clang::FindSCMain']]], - ['getsensemap_197',['getSenseMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a343064ab06a578f0f0d855aad1d409e4',1,'systemc_clang::EntryFunctionContainer']]], - ['getsensitivitymap_198',['getSensitivityMap',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a99d19c738a2ae450e9d459ccefdcd4ec',1,'sc_ast_matchers::SensitivityMatcher']]], - ['getsenslists_199',['GetSensLists',['../classsystemc__hdl_1_1HDLConstructorHcode.html#aa30f56ed86fe252a5293a7c52c9372dd',1,'systemc_hdl::HDLConstructorHcode']]], - ['getsignals_200',['getSignals',['../classsc__ast__matchers_1_1PortMatcher.html#a39286bcd036ed97667d3dc86589a7f63',1,'sc_ast_matchers::PortMatcher::getSignals()'],['../classsystemc__clang_1_1ModuleInstance.html#af851f06fc902cd0a3a90b78e2804a16e',1,'systemc_clang::ModuleInstance::getSignals()']]], - ['getsimtime_201',['getSimTime',['../classsystemc__clang_1_1State.html#acf009bf9bd20107ee1220c4315a1222b',1,'systemc_clang::State']]], - ['getsourcemanager_202',['getSourceManager',['../classsystemc__clang_1_1SystemCConsumer.html#a4272ad2c5a6239127aa4cbe6cd27c1a8',1,'systemc_clang::SystemCConsumer']]], - ['getsplitcfgblock_203',['getSplitCFGBlock',['../structsystemc__clang_1_1SupplementaryInfo.html#acdecfa485f4b64d3548b104380b6df25',1,'systemc_clang::SupplementaryInfo']]], - ['getsubmodules_204',['getSubmodules',['../classsc__ast__matchers_1_1PortMatcher.html#a33169f3cd54a4fdb192f3f2f4dc6aadd',1,'sc_ast_matchers::PortMatcher::getSubmodules()'],['../classsystemc__clang_1_1ModuleInstance.html#aed26ab69752330db3c975c94bdce616a',1,'systemc_clang::ModuleInstance::getSubmodules()']]], - ['getsuccblocks_205',['getSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a581f1ab02fa66de70074e2617167214c',1,'systemc_clang::SusCFG']]], - ['getsuccessors_206',['getSuccessors',['../classsystemc__clang_1_1SplitCFGBlock.html#aad19a1285d7babcb7e7e3ba81fcecb78',1,'systemc_clang::SplitCFGBlock::getSuccessors()'],['../classsystemc__clang_1_1Node.html#a60a39d782ae02c37b1fd8475c53b78b1',1,'systemc_clang::Node::getSuccessors()']]], - ['getsusauto_207',['getSusAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9d3d27700a2458a309caf51814374d2e',1,'systemc_clang::EntryFunctionContainer']]], - ['getsuscfg_208',['getSusCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#af746bf192e581ad16eec6883ef1b1474',1,'systemc_clang::EntryFunctionContainer::getSusCFG()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a4da78a5159402bb5380b8a427396ef65',1,'systemc_clang::SuspensionAutomata::getSusCFG()']]], - ['getsuscfgblockgpumacromap_209',['getSusCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa4036307db9207ba72c3ee12602bed21',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['getsystemcmodel_210',['getSystemCModel',['../classsystemc__clang_1_1SystemCConsumer.html#ae1eda508d3a15cb37f332205f8127e04',1,'systemc_clang::SystemCConsumer']]], - ['gettemplateargs_211',['getTemplateArgs',['../classsystemc__clang_1_1FindTemplateParameters.html#a39e2a33dbb7a8446e4a51847e3d07896',1,'systemc_clang::FindTemplateParameters']]], - ['gettemplateargtreeptr_212',['getTemplateArgTreePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a6379b187759bbf16c8e378d2716cf3e9',1,'systemc_clang::FindTemplateTypes']]], - ['gettemplateparameters_213',['getTemplateParameters',['../classsystemc__clang_1_1FindTemplateParameters.html#af993cd8c8c54ed5873d73d1bf6b1bb2a',1,'systemc_clang::FindTemplateParameters::getTemplateParameters()'],['../classsystemc__clang_1_1ModuleInstance.html#a2197a3fc55319daf2e643ff0639595fe',1,'systemc_clang::ModuleInstance::getTemplateParameters()']]], - ['gettemplatetype_214',['getTemplateType',['../classsystemc__clang_1_1InterfaceDecl.html#ac9c4e5ff8c8c64f5a61e263e4ab07ba5',1,'systemc_clang::InterfaceDecl::getTemplateType()'],['../classsystemc__clang_1_1PortDecl.html#a97faf57eddf2f20618033bf334301c4c',1,'systemc_clang::PortDecl::getTemplateType()']]], - ['gettemplatetypes_215',['getTemplateTypes',['../classsystemc__clang_1_1SignalDecl.html#abf1d2f397d5de7eab11ccd03fa4e50c6',1,'systemc_clang::SignalDecl']]], - ['getthreadidx_216',['getThreadIdx',['../classsystemc__clang_1_1GPUMacro.html#ab348265f86258f210a9be7bc35f77d13',1,'systemc_clang::GPUMacro']]], - ['getthreadidy_217',['getThreadIdy',['../classsystemc__clang_1_1GPUMacro.html#a0331700e0828b07120ef8f12f340049d',1,'systemc_clang::GPUMacro']]], - ['getthreadidz_218',['getThreadIdz',['../classsystemc__clang_1_1GPUMacro.html#a904d8a5936cfe65ae875ea9b5fd14dc6',1,'systemc_clang::GPUMacro']]], - ['gettime_219',['getTime',['../classsystemc__clang_1_1SuspensionAutomata.html#ae1396c0d87d664b56ea77d0ff2daf89c',1,'systemc_clang::SuspensionAutomata']]], - ['gettimeadvancevector_220',['getTimeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a8eded928724037fb5f09f6c723c776cb',1,'systemc_clang::Edge']]], - ['gettimefortransition_221',['getTimeForTransition',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a99c7a6927d270bdd1086cfba84a3c190',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettoid_222',['getToId',['../classsystemc__clang_1_1Edge.html#a85da4c31db3a91fc4a4907611ac7a85c',1,'systemc_clang::Edge']]], - ['gettopmodule_223',['getTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a1a302f2c0ce93bacfe2287d4e76a537e',1,'systemc_clang::SystemCConsumer']]], - ['gettotalwaits_224',['getTotalWaits',['../classsystemc__clang_1_1WaitCalls.html#ad77764ccdbfc71ac37aac08a734b9e58',1,'systemc_clang::WaitCalls']]], - ['gettransitionsattime_225',['getTransitionsAtTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a9c9a58b29db12a757c11c94cd7ff09e0',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettransitiontimemap_226',['getTransitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f28483e547807bbc4084904594e89cb',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettransporttype_227',['getTransportType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad142848415fb20323f067f922baad102',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gettruepath_228',['getTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a71ffcfeba6ed5ed450bf5eef4182d9d6',1,'systemc_clang::SplitCFGPathInfo']]], - ['gettype_229',['getType',['../classsystemc__clang_1_1ProcessDecl.html#a640153a556d93a7163b8161f32c18157',1,'systemc_clang::ProcessDecl']]], - ['gettypename_230',['getTypeName',['../classsystemc__clang_1_1TemplateType.html#a0b6b95ff48738820f98c16d85278ea72',1,'systemc_clang::TemplateType']]], - ['gettypeptr_231',['getTypePtr',['../classsystemc__clang_1_1TemplateType.html#a873bc0e213fb4bb72c467c8fd894013b',1,'systemc_clang::TemplateType']]], - ['getunvisitedsuccessor_232',['getUnvisitedSuccessor',['../classsystemc__clang_1_1SplitCFG.html#a562461795ba3bd2f8b5df7d58ef9bdfd',1,'systemc_clang::SplitCFG']]], - ['getvarname_233',['getVarName',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a004aafc0a8aa3e9232f1fdb142328ef4',1,'sc_ast_matchers::ModuleInstanceType']]], - ['getwaitarg_234',['GetWaitArg',['../classsystemc__hdl_1_1HDLBody.html#a4ad9a852873012e553644d115b23cbc9',1,'systemc_hdl::HDLBody']]], - ['getwaitarg_235',['getWaitArg',['../classsystemc__clang_1_1SplitCFGBlock.html#a329a43c8c5b67318bfe7cf718019aa42',1,'systemc_clang::SplitCFGBlock']]], - ['getwaitargument_236',['getWaitArgument',['../classsystemc__clang_1_1SplitCFG.html#a90f1b58c4c9f717887f7424795a12a66',1,'systemc_clang::SplitCFG']]], - ['getwaitcalls_237',['getWaitCalls',['../classsystemc__clang_1_1FindWait.html#aa4f894b091b8b5b4262ea98804b321b6',1,'systemc_clang::FindWait::getWaitCalls()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a47c3469ecff9e10080f70459cbec7331',1,'systemc_clang::EntryFunctionContainer::getWaitCalls()']]], - ['getwaitlist_238',['getWaitList',['../classsystemc__clang_1_1WaitCalls.html#a48da43f79f4cb4b9383f252db6e1f8bb',1,'systemc_clang::WaitCalls']]], - ['getwaitstmt_239',['getWaitStmt',['../classsystemc__clang_1_1SusCFG.html#a89a0f3c5d082345be8584dd591cf9036',1,'systemc_clang::SusCFG']]], - ['globalsuspensionautomata_240',['GlobalSuspensionAutomata',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abb5f142fe7bd8216fd43ac3ba56c38e8',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['gpumacro_241',['GPUMacro',['../classsystemc__clang_1_1GPUMacro.html#acafbcb39ca1438df64a8a569af3067fd',1,'systemc_clang::GPUMacro::GPUMacro(int, int, int, int, int, int, int, int)'],['../classsystemc__clang_1_1GPUMacro.html#af05ea14efc34ed971726a8f63f296ff6',1,'systemc_clang::GPUMacro::GPUMacro()']]], - ['gpumap_242',['GPUMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6cb4e29442e0d8d53e3c073873f63e4a',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['graph_243',['Graph',['../classsystemc__clang_1_1Graph.html#ae4c72b8ac4d693c49800a4c7e273654f',1,'systemc_clang::Graph']]] + ['genbindinglist_0',['genbindinglist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a08dfbcd686ad621d99b92f5773c20a6c',1,'parselib.transforms.interface_generation.InterfaceReplacement.genbindinglist()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ae2b7d18d5b00d22e253ff79fc30c2bf1',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.genbindinglist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6a545e26f9b21425f987f6b744b26d61',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.genbindinglist()']]], + ['generate_5finstance_5fname_1',['generate_instance_name',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html#a79ebb5c0b999a4b4da76c026e16aa0c9',1,'parselib::transforms::interface_generation::Interface']]], + ['generate_5finterface_5fdecl_5fname_2',['generate_interface_decl_name',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html#ac0aaf126c04d947718ec79fcabc3cf28',1,'parselib::transforms::interface_generation::Interface']]], + ['generate_5fpaths_3',['generate_paths',['../classsystemc__clang_1_1SplitCFG.html#a4824504a131b8dbf592fbe5ff8576643',1,'systemc_clang::SplitCFG']]], + ['generate_5fvname_4',['generate_vname',['../classsystemc__hdl_1_1HDLBody.html#a5b815784cc5f7749a530cb9a457dab82',1,'systemc_hdl::HDLBody']]], + ['generatebinop_5',['GenerateBinop',['../classsystemc__hdl_1_1HDLThread.html#abc8c2579cc04dabf50927d9ca1110849',1,'systemc_hdl::HDLThread']]], + ['generateinstancenames_6',['GenerateInstanceNames',['../classsystemc__hdl_1_1HDLMain.html#aa6274f2f206d1ca25b143ca9e92219c1',1,'systemc_hdl::HDLMain']]], + ['generatesensitivityname_7',['generateSensitivityName',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afa2eb5d64c58309f87ae5fd69afc2437',1,'sc_ast_matchers::SensitivityMatcher']]], + ['generatestateupdate_8',['GenerateStateUpdate',['../classsystemc__hdl_1_1HDLThread.html#a1dd1c8e8b693dafea34f01d26504c39b',1,'systemc_hdl::HDLThread']]], + ['generatestatevar_9',['GenerateStateVar',['../classsystemc__hdl_1_1HDLThread.html#a12eaac12875b4585948320e10621d1f7',1,'systemc_hdl::HDLThread']]], + ['generatetype_10',['generatetype',['../classHDLType.html#ab10cea49909ac746af38024ee2358b7a',1,'HDLType']]], + ['generatewaitcntupdate_11',['GenerateWaitCntUpdate',['../classsystemc__hdl_1_1HDLThread.html#ad0e218b04503718fcd5c672e1a1e55d1',1,'systemc_hdl::HDLThread']]], + ['generic_5fisfound_12',['generic_isFound',['../SuspensionAutomata_8cpp.html#ac484a884be6dbde73c1a5c0fc7b3fe80',1,'SuspensionAutomata.cpp']]], + ['genfor_13',['genfor',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adb95ebced250d79a2090cf3bad9d77b0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['gengsauto_14',['genGSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af343d5bf1434341a724d44faf24cbd2e',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gensauto_15',['genSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a2cd224dcca716d2c10200b3c5be2ca42',1,'systemc_clang::SuspensionAutomata']]], + ['gensuscfg_16',['genSusCFG',['../classsystemc__clang_1_1SuspensionAutomata.html#ad40e6ca40061230817d49243b637be46',1,'systemc_clang::SuspensionAutomata']]], + ['genvardecl_17',['genvardecl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a21ba3535af05b796cbea9beb43565100',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5falias_5ftype_5fwith_5finstantiation_18',['get_alias_type_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a23ae5caf961195f23008540ef24da575',1,'parselib::transforms::node::TypeDefType']]], + ['get_5fargv_19',['get_argv',['../namespacesystemc-clang.html#a1481202a60ed77bcbbd2569fd8ccb21d',1,'systemc-clang']]], + ['get_5fcontext_20',['get_context',['../classparselib_1_1primitives_1_1sc__in.html#a47c6fcfb7285c0918e91fbacd7c4e5a1',1,'parselib.primitives.sc_in.get_context()'],['../classparselib_1_1primitives_1_1____func__inout.html#a841b54a74ad9e70435eaff686d8d416e',1,'parselib.primitives.__func_inout.get_context()']]], + ['get_5fcurrent_5find_5fprefix_21',['get_current_ind_prefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aafe4beb8486ea509c03fe845ac71cff0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fmodule_5fvar_5ftype_22',['get_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7641731b52026f82eb5f96abe5979bfe',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fmodule_5fvar_5ftype_5for_5fdefault_23',['get_current_module_var_type_or_default',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a41b2828c1e6acb73c44a5bb8f33ec93e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fproc_5fname_24',['get_current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a07b3121225df74a97d4e0fa0a21d975d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcurrent_5fscope_5ftype_25',['get_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a19bf0bb6d8683863c69323a18e9a07b6',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5fcustom_5ftype_26',['get_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a32917b3e87af4369381c2a31b46addc0',1,'parselib::transforms::type_collector::TypeCollector']]], + ['get_5felement_5ftype_27',['get_element_type',['../classparselib_1_1primitives_1_1array.html#a3e18735026d16691581bd45b57b8cd9c',1,'parselib::primitives::array']]], + ['get_5ffields_5fwith_5finstantiation_28',['get_fields_with_instantiation',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a55218273d190567157d774b11890a65a',1,'parselib::transforms::node::TypeDefType']]], + ['get_5fids_5fin_5ftree_29',['get_ids_in_tree',['../namespaceparselib_1_1utils.html#a5b48f06484e258e08e9b31b96bbd1e59',1,'parselib::utils']]], + ['get_5fids_5fin_5ftree_5fdfs_30',['get_ids_in_tree_dfs',['../namespaceparselib_1_1utils.html#aae0d251da6c925b459a5433e8fd88385',1,'parselib::utils']]], + ['get_5fids_5fin_5ftree_5ftypes_31',['get_ids_in_tree_types',['../namespaceparselib_1_1utils.html#a97f65374547819e9cbc441cc925fda69',1,'parselib::utils']]], + ['get_5fmodule_5fname_5fin_5fportbinding_32',['get_module_name_in_portbinding',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a837665b6e0efa095990e7cf49467225f',1,'parselib::transforms::portbinding_recollect::PortbindingRecollect']]], + ['get_5fport_5fbindings_33',['get_port_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#afd907462163f94dc916395ca2008956a',1,'parselib::transforms::type_collector::TypeCollector']]], + ['get_5fport_5fname_5fin_5fportbinding_34',['get_port_name_in_portbinding',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a8270be5744657682f60aaef09dfd0274',1,'parselib::transforms::portbinding_recollect::PortbindingRecollect']]], + ['get_5fprefix_35',['get_prefix',['../classhnode_1_1name__serve.html#a6ade23e83a345931fa0ad7145d1dc923',1,'hnode::name_serve::get_prefix()'],['../classhnode_1_1newname__map__t.html#aa069091237589c4c207faaa6676f9687',1,'hnode::newname_map_t::get_prefix()']]], + ['get_5fprimitive_36',['get_primitive',['../classparselib_1_1primitives_1_1Primitive.html#aa93bba180e53aed40ea4172f38ae5fec',1,'parselib::primitives::Primitive']]], + ['get_5fprimitive_5fname_5fdict_37',['get_primitive_name_dict',['../classparselib_1_1primitives_1_1Primitive.html#a72d2f57df32b0f4fe708041c6aaec509',1,'parselib::primitives::Primitive']]], + ['get_5fsense_5flist_38',['get_sense_list',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab2b21cdb65f4e11ee97259c236f6ab72',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['get_5ftree_5ftypes_39',['get_tree_types',['../namespaceparselib_1_1utils.html#a060da3c28be03f32c917d092e262a93c',1,'parselib::utils']]], + ['get_5ftype_40',['get_type',['../classparselib_1_1transforms_1_1top__down_1_1VariableBindings.html#a60ff00ad22dda62cee23e0b8aefb5c3a',1,'parselib::transforms::top_down::VariableBindings']]], + ['getallbaseclasses_41',['getAllBaseClasses',['../namespacesc__ast__matchers_1_1utils.html#a01265a86f775f3e9e458372f52ef031c',1,'sc_ast_matchers::utils']]], + ['getallbaseclassnames_42',['getAllBaseClassNames',['../namespacesc__ast__matchers_1_1utils.html#a9783053a358476470de028a34baed544',1,'sc_ast_matchers::utils']]], + ['getallpathinfo_43',['getAllPathInfo',['../classsystemc__clang_1_1SplitCFG.html#a9f9fe184f38df0e3684248a18920569d',1,'systemc_clang::SplitCFG']]], + ['getargfields_44',['getArgFields',['../classTemplateParametersMatcher.html#af9dc96194c8ff2e21994bcf37a1f3c41',1,'TemplateParametersMatcher']]], + ['getarglist_45',['getArgList',['../classsystemc__clang_1_1NotifyContainer.html#ae81acefda1a851a62a5536b7c35a8c49',1,'systemc_clang::NotifyContainer::getArgList()'],['../classsystemc__clang_1_1WaitContainer.html#ad3f5160b60f554caa6f0b388db241722',1,'systemc_clang::WaitContainer::getArgList()']]], + ['getargstring_46',['getArgString',['../classsystemc__clang_1_1NotifyContainer.html#a3b11056c35cdbca21b1babd231e9a57d',1,'systemc_clang::NotifyContainer::getArgString()'],['../classsystemc__clang_1_1WaitContainer.html#a382669e24b21f47293c076edb476f59f',1,'systemc_clang::WaitContainer::getArgString()']]], + ['getargumentname_47',['getArgumentName',['../classsystemc__clang_1_1FindArgument.html#aed1288c3f991e56b20c161328f4aee37',1,'systemc_clang::FindArgument::getArgumentName()'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6f133dd6587f8374c3f3cd54d4d7e881',1,'systemc_clang::GlobalSuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a20267391120384f5f3473a224716c468',1,'systemc_clang::SuspensionAutomata::getArgumentName()'],['../classsystemc__clang_1_1Utility.html#a2b89b2bd4d7a3f10974b8904c1798921',1,'systemc_clang::Utility::getArgumentName()']]], + ['getarraydimension_48',['getArrayDimension',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a04ffe18b47e0526bc72d523764f9cf01',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getarrayinstanceindex_49',['getArrayInstanceIndex',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a8caeed19d064a60af5447e3b3b2bc7b7',1,'sc_ast_matchers::utils::array_type']]], + ['getarraymemberexprname_50',['getArrayMemberExprName',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#affa9a73bdbbac2e524a3e6babc1cbfd3',1,'sc_ast_matchers::utils::array_type']]], + ['getarrayparameters_51',['getArrayParameters',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a28162f2cd35d5d9f58bb6f6114ba3664',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getarraysizes_52',['getArraySizes',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a31ec55da91eed85530fcb927202e0854',1,'sc_ast_matchers::ModuleInstanceType::getArraySizes()'],['../classsystemc__clang_1_1PortDecl.html#abbde883d313575e5ed15ee816a4947a5',1,'systemc_clang::PortDecl::getArraySizes()']]], + ['getarraysubscripts_53',['getArraySubscripts',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#aaceb5ab834462ecaf2da90c3b1da1794',1,'sc_ast_matchers::utils::array_type']]], + ['getarraytype_54',['getArrayType',['../classsystemc__clang_1_1PortDecl.html#a43ca64dccbd062324c2abc5963154546',1,'systemc_clang::PortDecl']]], + ['getascxxrecorddecl_55',['getAsCXXRecordDecl',['../classsystemc__clang_1_1FindConstructor.html#a17136833a5c8cad1d873d2bb84a150cb',1,'systemc_clang::FindConstructor']]], + ['getasfielddecl_56',['getAsFieldDecl',['../classsystemc__clang_1_1PortDecl.html#a92bfdf72e9248a8a590a2b027df750d2',1,'systemc_clang::PortDecl']]], + ['getastnode_57',['getASTNode',['../classsystemc__clang_1_1EventContainer.html#aea0cda4c60b882813cb49d5f70f5549b',1,'systemc_clang::EventContainer::getASTNode()'],['../classsystemc__clang_1_1EventDecl.html#a91e2244638f1ce2d7b90eb9a836e3f81',1,'systemc_clang::EventDecl::getASTNode()'],['../classsystemc__clang_1_1NotifyContainer.html#a645f34bea02fe0e3d770696a2da1b910',1,'systemc_clang::NotifyContainer::getASTNode()'],['../classsystemc__clang_1_1SignalDecl.html#a66b8f2838d8af4f850c87e58ad1fd295',1,'systemc_clang::SignalDecl::getASTNode()'],['../classsystemc__clang_1_1WaitContainer.html#a6dcbd3a3b98c33634f3a17075245acd1',1,'systemc_clang::WaitContainer::getASTNode()']]], + ['getasvardecl_58',['getAsVarDecl',['../classsystemc__clang_1_1PortDecl.html#a2500d6bc9d55fc9ebd369958b262f514',1,'systemc_clang::PortDecl']]], + ['getbaseinstances_59',['getBaseInstances',['../classsystemc__clang_1_1ModuleInstance.html#aa5bbdf019fe555d3155c9ffb110a9bc6',1,'systemc_clang::ModuleInstance']]], + ['getblock_60',['getBlock',['../classsystemc__clang_1_1SusCFG.html#a5896f7a1e1132bfea080ff478698f8c1',1,'systemc_clang::SusCFG']]], + ['getblockid_61',['getBlockID',['../classsystemc__clang_1_1SplitCFGBlock.html#a9ab1b3f2cc94ffb3b5951dfb5e6d72d6',1,'systemc_clang::SplitCFGBlock::getBlockID()'],['../classsystemc__clang_1_1SusCFG.html#a87b1bd459e23e5a90f714167047d9def',1,'systemc_clang::SusCFG::getBlockID()']]], + ['getblockidx_62',['getBlockIdx',['../classsystemc__clang_1_1GPUMacro.html#aa93a604a0d682d38ea524d6ee2bc79d6',1,'systemc_clang::GPUMacro']]], + ['getblockidy_63',['getBlockIdy',['../classsystemc__clang_1_1GPUMacro.html#ad2c027b2c20fe2eafb882b4087ff265e',1,'systemc_clang::GPUMacro']]], + ['getblockidz_64',['getBlockIdz',['../classsystemc__clang_1_1GPUMacro.html#ace526615dea7ed936e25a6ecf93ea138',1,'systemc_clang::GPUMacro']]], + ['getcalleearraysubscripts_65',['getCalleeArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#a7da5517adff2b424a37aa0a9c8ea4bba',1,'systemc_clang::PortBinding']]], + ['getcalleeinstancename_66',['getCalleeInstanceName',['../classsystemc__clang_1_1PortBinding.html#a1376ee922434c1d318027bf5623c936b',1,'systemc_clang::PortBinding']]], + ['getcalleeportname_67',['getCalleePortName',['../classsystemc__clang_1_1PortBinding.html#a056c88fb1c71198441be3779e9c1ff12',1,'systemc_clang::PortBinding']]], + ['getcallerarraysubscripts_68',['getCallerArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#aa0ad3a4de12bf03fd0382f0f8fb2c0dc',1,'systemc_clang::PortBinding']]], + ['getcallercallee_69',['getCallerCallee',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#a8ada1a118d02f99757cd6d4919d4a165',1,'sc_ast_matchers::CallerCalleeMatcher']]], + ['getcallerinstancename_70',['getCallerInstanceName',['../classsystemc__clang_1_1PortBinding.html#a4bd0428a8f23be87f89e7cda084139a3',1,'systemc_clang::PortBinding']]], + ['getcallerinstancetypename_71',['getCallerInstanceTypeName',['../classsystemc__clang_1_1PortBinding.html#a31718873d60c8a69ef3357b0fc1d3fca',1,'systemc_clang::PortBinding']]], + ['getcallermemberexpr_72',['getCallerMemberExpr',['../classsystemc__clang_1_1PortBinding.html#af965152cec89e6203a0b8c2aa4669cdf',1,'systemc_clang::PortBinding']]], + ['getcallerportarraysubscripts_73',['getCallerPortArraySubscripts',['../classsystemc__clang_1_1PortBinding.html#ad83753efdd44051cfa710bfa00d57084',1,'systemc_clang::PortBinding']]], + ['getcallerportname_74',['getCallerPortName',['../classsystemc__clang_1_1PortBinding.html#af2aa9c5d2455ab06f1661cd76ded189f',1,'systemc_clang::PortBinding']]], + ['getcfgblock_75',['getCFGBlock',['../classsystemc__clang_1_1SplitCFGBlock.html#a6694778d50cad2c763c7d81522d6a359',1,'systemc_clang::SplitCFGBlock']]], + ['getchildblocklist_76',['getChildBlockList',['../classsystemc__clang_1_1SusCFG.html#a6aa20b36799667e001b7633a8790e43a',1,'systemc_clang::SusCFG']]], + ['getchildren_77',['getChildren',['../classsystemc__clang_1_1Tree.html#a970c6343c7021e22d7b7fb5fb3fc89a4',1,'systemc_clang::Tree']]], + ['getclassnamefromdecl_78',['getClassNameFromDecl',['../namespacesc__ast__matchers_1_1utils.html#ac6934ca2b8299e80ee1a99e7c38b0535',1,'sc_ast_matchers::utils']]], + ['getclockports_79',['getClockPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a0ad4061f0b89541c2291e657c0bc1fed',1,'sc_ast_matchers::PortMatcher']]], + ['getconfluenceblocks_80',['getConfluenceBlocks',['../classsystemc__clang_1_1SplitCFG.html#acfeba58a804b2b61d7b94a9458c7df33',1,'systemc_clang::SplitCFG']]], + ['getconstantarraysizes_81',['getConstantArraySizes',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a7c57428836f641635c3d9bef101f81c0',1,'sc_ast_matchers::utils::array_type']]], + ['getconstructordecl_82',['getConstructorDecl',['../classsystemc__clang_1_1FindConstructor.html#a21fbf7421f588f2b35d4b3ea51345ca2',1,'systemc_clang::FindConstructor::getConstructorDecl()'],['../classsystemc__clang_1_1ModuleInstance.html#a9d2e6ca05a0887949c41c6f0d65f477b',1,'systemc_clang::ModuleInstance::getConstructorDecl()']]], + ['getconstructorstmt_83',['getConstructorStmt',['../classsystemc__clang_1_1FindConstructor.html#a77820daaffd189affdc07bc4ec623e5c',1,'systemc_clang::FindConstructor::getConstructorStmt()'],['../classsystemc__clang_1_1ModuleInstance.html#a4f25ebe3f9749062e64f3c5246ae5991',1,'systemc_clang::ModuleInstance::getConstructorStmt()']]], + ['getcontext_84',['getContext',['../classsystemc__clang_1_1SystemCConsumer.html#ad83b670a41e54b55954ec9fa305dce99',1,'systemc_clang::SystemCConsumer']]], + ['getcputime_85',['getCPUTime',['../classsystemc__clang_1_1GPUMacro.html#abe0492628920eac96ed31fac90193917',1,'systemc_clang::GPUMacro']]], + ['getdata_86',['getData',['../classsystemc__clang_1_1TreeNode.html#a1b2ccf587f21fe34d12d59a97c9308b6',1,'systemc_clang::TreeNode']]], + ['getdataptr_87',['getDataPtr',['../classsystemc__clang_1_1TreeNode.html#afccbbc5b1e0642f6cb19bd72953a18a1',1,'systemc_clang::TreeNode']]], + ['getedge_88',['getEdge',['../classsystemc__clang_1_1Graph.html#a8a750db74890cad002240e0734da43d9',1,'systemc_clang::Graph::getEdge(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a46614dc9af2eeea6ae6d7bcbf700f73d',1,'systemc_clang::Graph::getEdge(int, int)']]], + ['getedgeid_89',['getEdgeID',['../classsystemc__clang_1_1Graph.html#a2c243b93d640a155b9b885b46c6d4dba',1,'systemc_clang::Graph::getEdgeID(Edge *)'],['../classsystemc__clang_1_1Graph.html#af4e3ee0614273a2609a098c318cbefbc',1,'systemc_clang::Graph::getEdgeID(Node *, Node *)'],['../classsystemc__clang_1_1Graph.html#a5b37cba4d4dc7353196acf907145710f',1,'systemc_clang::Graph::getEdgeID(int, int)']]], + ['getedgesfromdest_90',['getEdgesFromDest',['../classsystemc__clang_1_1Graph.html#abc844b7bc921741a0dc6575c31b22478',1,'systemc_clang::Graph::getEdgesFromDest(int)'],['../classsystemc__clang_1_1Graph.html#aca79bee6b172c861e80f6f757e90095b',1,'systemc_clang::Graph::getEdgesFromDest(Node *)']]], + ['getedgesfromsource_91',['getEdgesFromSource',['../classsystemc__clang_1_1Graph.html#a27fde3fe501aa414188b09f84ac6a923',1,'systemc_clang::Graph::getEdgesFromSource(int)'],['../classsystemc__clang_1_1Graph.html#a2a91f74bfc51cf689f49f4cadc5b7eca',1,'systemc_clang::Graph::getEdgesFromSource(Node *)']]], + ['getelements_92',['getElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a4a51715ef5589ee1f15d9a954dfcb253',1,'systemc_clang::SplitCFGBlock']]], + ['getentrycxxrecorddecl_93',['getEntryCXXRecordDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#ac446832a87870fc13179e8b713574081',1,'systemc_clang::FindEntryFunctions']]], + ['getentryfunction_94',['getEntryFunction',['../classsystemc__clang_1_1ProcessDecl.html#ad6d08ebd6ae33a0d3b22e2a73b28abbb',1,'systemc_clang::ProcessDecl']]], + ['getentryfunctioncontainer_95',['getEntryFunctionContainer',['../classsystemc__clang_1_1ModuleInstance.html#af1940f1279d238e1f0105724e1a45fab',1,'systemc_clang::ModuleInstance']]], + ['getentryfunctiongpumacromap_96',['getEntryFunctionGPUMacroMap',['../classsystemc__clang_1_1Model.html#aa0c07dfe1669cce2d0da6869fc2eef88',1,'systemc_clang::Model']]], + ['getentryfunctionmacromap_97',['getEntryFunctionMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5c29b2d9a82908fc99212b66a31dd385',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getentryfunctions_98',['getEntryFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#af7a21991fe8d8e3b5789c28dcb4001bc',1,'systemc_clang::FindEntryFunctions']]], + ['getentrymethod_99',['getEntryMethod',['../classsystemc__clang_1_1FindNotify.html#a90a52c785b20f668e81735395fe215ad',1,'systemc_clang::FindNotify::getEntryMethod()'],['../classsystemc__clang_1_1FindWait.html#a581ee769249059fac4a9a7a8b488268b',1,'systemc_clang::FindWait::getEntryMethod()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a86410e2fd78a84d07855d2ad05696839',1,'systemc_clang::EntryFunctionContainer::getEntryMethod()'],['../classsystemc__clang_1_1NotifyContainer.html#a6e89aa20250b34664883255c056f38af',1,'systemc_clang::NotifyContainer::getEntryMethod()'],['../classsystemc__clang_1_1WaitContainer.html#a4937c32be15f2cfa02fcf500afea2464',1,'systemc_clang::WaitContainer::getEntryMethod()']]], + ['getentrymethoddecl_100',['getEntryMethodDecl',['../classsystemc__clang_1_1FindEntryFunctions.html#aa0f2f247b3a02230180881351537a0a5',1,'systemc_clang::FindEntryFunctions::getEntryMethodDecl()'],['../classsystemc__clang_1_1ProcessDecl.html#ad036d278d1641de2ae93e508ac08d76a',1,'systemc_clang::ProcessDecl::getEntryMethodDecl()']]], + ['getentryname_101',['getEntryName',['../classsystemc__clang_1_1FindEntryFunctions.html#ab69b3a5704295d3655b6f77405506b1d',1,'systemc_clang::FindEntryFunctions']]], + ['getevent_102',['getEvent',['../classsystemc__clang_1_1SuspensionAutomata.html#adf39018ee2f86a522a3e81f12fbb429e',1,'systemc_clang::SuspensionAutomata']]], + ['geteventmap_103',['getEventMap',['../classsystemc__clang_1_1FindGlobalEvents.html#a281cab7140c2ede50bfc886adabf240e',1,'systemc_clang::FindGlobalEvents']]], + ['geteventmaptype_104',['getEventMapType',['../classsystemc__clang_1_1Model.html#a2b9df68d993f0d43a194a7a1885d6565',1,'systemc_clang::Model']]], + ['geteventname_105',['getEventName',['../classsystemc__clang_1_1EventContainer.html#a5732df92c0503f829e00c37fbbbd867e',1,'systemc_clang::EventContainer::getEventName()'],['../classsystemc__clang_1_1State.html#a2bc5bfac607d8519452737899137b4c0',1,'systemc_clang::State::getEventName()']]], + ['geteventnames_106',['getEventNames',['../classsystemc__clang_1_1FindEvents.html#abc963179eed24f8a7bf2e79d1b50e08b',1,'systemc_clang::FindEvents::getEventNames()'],['../classsystemc__clang_1_1FindGlobalEvents.html#a01ea433758be4c3b697b7174b61a4a0f',1,'systemc_clang::FindGlobalEvents::getEventNames()']]], + ['getfalseid_107',['getFalseId',['../structsystemc__clang_1_1SupplementaryInfo.html#a4b71b21bd797c5134f3651649f4bf12c',1,'systemc_clang::SupplementaryInfo']]], + ['getfalselength_108',['GetFalseLength',['../classsystemc__hdl_1_1HDLThread.html#a15f420713968bb596598f220a41d4fa8',1,'systemc_hdl::HDLThread']]], + ['getfalsepath_109',['getFalsePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a5fac27cb9292450b70d01a9c52d820f8',1,'systemc_clang::SplitCFGPathInfo']]], + ['getfielddecls_110',['getFieldDecls',['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#a01800d7c7ee463632ab2ed7431083696',1,'sc_ast_matchers::FindMemberFieldMatcher']]], + ['getfields_111',['getFields',['../classTemplateParametersMatcher.html#a4ae346305db4ff1dde8a5bf873daca48',1,'TemplateParametersMatcher']]], + ['getforstmtgpumacromap_112',['getForStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a3f0922dcab5a57b789e4775040a6eb09',1,'systemc_clang::FindGPUMacro']]], + ['getfoundmoduledeclarations_113',['getFoundModuleDeclarations',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a2624f76f8f343fb607364da16287b5ce',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['getfromid_114',['getFromId',['../classsystemc__clang_1_1Edge.html#a8649bc1063d1be9387d3909b0ba36960',1,'systemc_clang::Edge']]], + ['getfunctionname_115',['getFunctionName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#aedbf10db1bd1341f8758beea7bd08ec8',1,'sc_ast_matchers::utils::NamespaceMatcher']]], + ['getglobalsauto_116',['getGlobalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae953d95b5a85a8b6593bbabea84066f6',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getgputime_117',['getGPUTime',['../classsystemc__clang_1_1GPUMacro.html#aa6a4895dd19fc7b3000cf3b280899967',1,'systemc_clang::GPUMacro']]], + ['getgsauto_118',['getGSauto',['../classsystemc__clang_1_1Model.html#a251e194b6a43ca2fb10fd792826c02d2',1,'systemc_clang::Model::getGSauto()'],['../classTimeAnalysis.html#a1136dd058565f4952d4182fb321971ac',1,'TimeAnalysis::getGSauto()']]], + ['getid_119',['getId',['../classsystemc__clang_1_1Node.html#a3c7b8ccf4dab44216b997c5f63f11d9f',1,'systemc_clang::Node::getId()'],['../classsystemc__clang_1_1Edge.html#abfe372bacca411d0b654a0c83bed8b69',1,'systemc_clang::Edge::getId()']]], + ['getiinterfaces_120',['getIInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a8a65771018618ea912ea464b91a02df0',1,'systemc_clang::ModuleInstance']]], + ['getinclassevents_121',['getInClassEvents',['../classsystemc__clang_1_1FindEvents.html#aec2eecc4a7f729aba15fbcd8095a757f',1,'systemc_clang::FindEvents']]], + ['getincomingtransitions_122',['getIncomingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a04b297442b832b6ac447b37301a351d5',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getinoutports_123',['getInOutPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a52705757b2d269c595a82cbcfbf222da',1,'sc_ast_matchers::PortMatcher']]], + ['getinputinterfaces_124',['getInputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a4d4c18ed22cb13db65adf9f227216449',1,'systemc_clang::FindTLMInterfaces']]], + ['getinputoutputinterfaces_125',['getInputOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#abbd673e1caef50580434b32dccf739b5',1,'systemc_clang::FindTLMInterfaces']]], + ['getinputports_126',['getInputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a9aaa481ddbedcc55bfa05e3b1ad70025',1,'sc_ast_matchers::PortMatcher']]], + ['getinputstreamports_127',['getInputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a33b8250042cc1a9e7f03814d0da4cfc6',1,'sc_ast_matchers::PortMatcher::getInputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a73225cd120f34fc75751ddc3f238fbec',1,'systemc_clang::ModuleInstance::getInputStreamPorts()']]], + ['getinstance_128',['getInstance',['../classsystemc__clang_1_1Model.html#aaa520d4751e3356adf95d22da04efb3b',1,'systemc_clang::Model::getInstance(const std::string &instance_name)'],['../classsystemc__clang_1_1Model.html#a38145f5de77de05c68841ea62ea8fb2e',1,'systemc_clang::Model::getInstance(Decl *instance_decl)']]], + ['getinstanceconstructorname_129',['getInstanceConstructorName',['../classsystemc__clang_1_1PortBinding.html#a87d73335daf2c006d847c91dd4cfb55b',1,'systemc_clang::PortBinding']]], + ['getinstancedecl_130',['getInstanceDecl',['../classsystemc__clang_1_1ModuleInstance.html#a93c98dd130f7c4d3209c08a94c766bc9',1,'systemc_clang::ModuleInstance::getInstanceDecl()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#aacd84f77e724125efd006bd06ee2dadd',1,'sc_ast_matchers::ModuleInstanceType::getInstanceDecl()']]], + ['getinstancefielddecl_131',['getInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2ed203f154013a3e20e7cee3091d6d3c',1,'systemc_clang::ModuleInstance']]], + ['getinstancefunctionsautomap_132',['getInstanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8d0d88d3ae3c4ecd0c8b09d324b27b6c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getinstanceinfo_133',['getInstanceInfo',['../classsystemc__clang_1_1ModuleInstance.html#a8b088227c84f35c712c7776a0d281dfb',1,'systemc_clang::ModuleInstance']]], + ['getinstancelist_134',['getInstanceList',['../classsystemc__clang_1_1ModuleInstance.html#ab2c3b23d75606ee05f3ba6a07a95da2f',1,'systemc_clang::ModuleInstance']]], + ['getinstancelistmodulemap_135',['getInstanceListModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a535e45334a681317911a5b3d9e1d6f0e',1,'systemc_clang::FindNetlist']]], + ['getinstanceliteral_136',['getInstanceLiteral',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a13c05a3f41b6c3cb7fa3586eb17e7300',1,'sc_ast_matchers::InstanceArgumentMatcher']]], + ['getinstancemap_137',['getInstanceMap',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae5f29389e22482e77f7b28b5b68efb9f',1,'sc_ast_matchers::InstanceMatcher']]], + ['getinstancematcher_138',['getInstanceMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a63b5effd9522f040e7707578543e6e4a',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['getinstancemodulemap_139',['getInstanceModuleMap',['../classsystemc__clang_1_1FindNetlist.html#a8d35235664b5b29582fd67105e7f6557',1,'systemc_clang::FindNetlist']]], + ['getinstancename_140',['getInstanceName',['../classsystemc__clang_1_1ModuleInstance.html#a8c053ec6f0756cef1f68102ef1d9f145',1,'systemc_clang::ModuleInstance']]], + ['getinstancenames_141',['getInstanceNames',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a1d1199df1b439afa704b127d072ae859',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getinstanceportsignalmap_142',['getInstancePortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a118b0dba9c558682f329ee385481baad',1,'systemc_clang::FindNetlist']]], + ['getinstances_143',['getInstances',['../classsystemc__clang_1_1Model.html#ac1ad5e0f23656450e2a8183f6d7889c4',1,'systemc_clang::Model']]], + ['getinstancesautomap_144',['getInstanceSautoMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a53cf16189b7e335b1a6fc63eb3ea5bac',1,'systemc_clang::EntryFunctionContainer']]], + ['getinstancesuscfgmap_145',['getInstanceSusCFGMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a6658d0969d8077a72f3fa061910abf39',1,'systemc_clang::EntryFunctionContainer']]], + ['getinstancetype_146',['getInstanceType',['../classsystemc__clang_1_1PortBinding.html#a809da824a6c1db091a09c383609eeda9',1,'systemc_clang::PortBinding']]], + ['getinstancetypedecl_147',['getInstanceTypeDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a0079713b8619693c428a7aa7624ecda2',1,'sc_ast_matchers::ModuleInstanceType::getInstanceTypeDecl()'],['../classsystemc__clang_1_1PortBinding.html#aca6f62504ab4bf4cf2f0a2f85e114e38',1,'systemc_clang::PortBinding::getInstanceTypeDecl()']]], + ['getinstancevardecl_148',['getInstanceVarDecl',['../classsystemc__clang_1_1ModuleInstance.html#ac33228f71472ad6a5a2247f74bb81757',1,'systemc_clang::ModuleInstance']]], + ['getinstancevarname_149',['getInstanceVarName',['../classsystemc__clang_1_1PortBinding.html#a997d661b3daac03cbd5dca6f04009a37',1,'systemc_clang::PortBinding']]], + ['getiointerfaces_150',['getIOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#ad74d4af3ead7efed17920104b7b81cc0',1,'systemc_clang::ModuleInstance']]], + ['getioports_151',['getIOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43aa2538cb4a240f89e395336009dc0a',1,'systemc_clang::ModuleInstance']]], + ['getiports_152',['getIPorts',['../classsystemc__clang_1_1ModuleInstance.html#af63180850f4b93df82425e5e34a96aa0',1,'systemc_clang::ModuleInstance']]], + ['getmemberarraysubscriptexpr_153',['getMemberArraySubscriptExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a5156ccf8a27e610c7e8fa1c1660f7d96',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['getmemberexprcallexpr_154',['getMemberExprCallExpr',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a4946d92d04a0c8d4a6362bbf7f9cca49',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['getmemberexprwithoutcall_155',['getMemberExprWithoutCall',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a26a38441e8ee2bd68081f450b593d0ca',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]], + ['getmoduleclassdecl_156',['getModuleClassDecl',['../classsystemc__clang_1_1ModuleInstance.html#a2b475e9a754dcdf0d0cd905dcceb5ff4',1,'systemc_clang::ModuleInstance']]], + ['getmoduleinitializernames_157',['getModuleInitializerNames',['../namespacesc__ast__matchers_1_1utils.html#a5cf3a35398c44b8bfdcad5d92f8cfcf9',1,'sc_ast_matchers::utils']]], + ['getname_158',['getName',['../classsystemc__clang_1_1EntryFunctionContainer.html#a8c4e6135b1fc18475ffd1ed6351925ea',1,'systemc_clang::EntryFunctionContainer::getName()'],['../classsystemc__clang_1_1EventDecl.html#a0bd62f5c6e79ccf9ea234f974858c18d',1,'systemc_clang::EventDecl::getName()'],['../classsystemc__clang_1_1InterfaceDecl.html#ab3ebb6eb42b3dc53169436ef3cc7d1d9',1,'systemc_clang::InterfaceDecl::getName()'],['../classsystemc__clang_1_1ModuleInstance.html#a6f7bac0c669433c2cf4ea2846b43606c',1,'systemc_clang::ModuleInstance::getName()'],['../classsystemc__clang_1_1NotifyCalls.html#a2a85db2b0d49a7847def4287b11f64c4',1,'systemc_clang::NotifyCalls::getName()'],['../classsystemc__clang_1_1PortDecl.html#a407dcd68862014e89d9f9666e4dc6231',1,'systemc_clang::PortDecl::getName()'],['../classsystemc__clang_1_1ProcessDecl.html#a2152f18924607183c687554f616ee945',1,'systemc_clang::ProcessDecl::getName()'],['../classsystemc__clang_1_1SignalDecl.html#ae0d63c75effbde4b0547794725d6e01f',1,'systemc_clang::SignalDecl::getName()'],['../classsystemc__clang_1_1WaitCalls.html#a3bd60c79084a4db2d5d0e53a938309f1',1,'systemc_clang::WaitCalls::getName()']]], + ['getname_159',['getname',['../classhnode_1_1hNode.html#a3a514db7343d00d4371a07a4714d5ad1',1,'hnode::hNode']]], + ['getnamespacename_160',['getNamespaceName',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#abfc484d445f420770ec35d65ab977d3c',1,'sc_ast_matchers::utils::NamespaceMatcher']]], + ['getnestedmoduleinstances_161',['getNestedModuleInstances',['../classsystemc__clang_1_1ModuleInstance.html#a58b6305e7ca18d172ddbb9887b06d572',1,'systemc_clang::ModuleInstance']]], + ['getnextstate_162',['getNextState',['../classsystemc__clang_1_1SplitCFGBlock.html#a24215fc4634952cb7061e344dbea2a02',1,'systemc_clang::SplitCFGBlock']]], + ['getnode_163',['getNode',['../classsystemc__clang_1_1Graph.html#a7bd159419fd64439460675934b6a998e',1,'systemc_clang::Graph']]], + ['getnodeid_164',['getNodeID',['../classsystemc__clang_1_1Graph.html#a1a7dd9bf6fae1e4313e953da79879547',1,'systemc_clang::Graph']]], + ['getnotifycalllist_165',['getNotifyCallList',['../classsystemc__clang_1_1FindNotify.html#aea9758772262f7d6600895170e250d0d',1,'systemc_clang::FindNotify::getNotifyCallList()'],['../classsystemc__clang_1_1NotifyCalls.html#a04b92e1b2cf254c553ef603a3096e17a',1,'systemc_clang::NotifyCalls::getNotifyCallList()']]], + ['getnotifycalls_166',['getNotifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9936135c483e438c70202bbd7e8371de',1,'systemc_clang::EntryFunctionContainer']]], + ['getnotifyeventname_167',['getNotifyEventName',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a7961bf67e1bf59f3c41c8a52f3de8c66',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getnumargs_168',['getNumArgs',['../classsystemc__clang_1_1NotifyContainer.html#a7cfba08630a3b6647c609e10affd1e76',1,'systemc_clang::NotifyContainer::getNumArgs()'],['../classsystemc__clang_1_1WaitContainer.html#ae43e006099b1af0653cfd8275290e7c1',1,'systemc_clang::WaitContainer::getNumArgs()']]], + ['getnumevents_169',['getNumEvents',['../classsystemc__clang_1_1Model.html#ad355f8ff01f39fd6338f65dc5c106a05',1,'systemc_clang::Model']]], + ['getnuminstances_170',['getNumInstances',['../classsystemc__clang_1_1FindNetlist.html#ad9356ee3634a7ab8f6df78eb952cc374',1,'systemc_clang::FindNetlist::getNumInstances()'],['../classsystemc__clang_1_1ModuleInstance.html#ae850438fbe4698f80e35ab3d343472bd',1,'systemc_clang::ModuleInstance::getNumInstances()']]], + ['getnumnotifycalls_171',['getNumNotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html#a31dceb473a9f326f61da1e8b20b6d908',1,'systemc_clang::NotifyCalls']]], + ['getnumofelements_172',['getNumOfElements',['../classsystemc__clang_1_1SplitCFGBlock.html#af9665339766ba12d9ecc772ee78be8ae',1,'systemc_clang::SplitCFGBlock']]], + ['getointerfaces_173',['getOInterfaces',['../classsystemc__clang_1_1ModuleInstance.html#a78afbabd5f4e05572feab136402c3c1e',1,'systemc_clang::ModuleInstance']]], + ['getopc_174',['getopc',['../classhnode_1_1hNode.html#a2321b495c12ccc2cb4aa002fb3b01371',1,'hnode::hNode']]], + ['getoports_175',['getOPorts',['../classsystemc__clang_1_1ModuleInstance.html#a43eba79f18e8c170fbad6b1f58497e0e',1,'systemc_clang::ModuleInstance']]], + ['getotherfunctions_176',['getOtherFunctions',['../classsystemc__clang_1_1FindEntryFunctions.html#a6f7589522be2c6e22585a950afc07d73',1,'systemc_clang::FindEntryFunctions']]], + ['getothervars_177',['getOtherVars',['../classsc__ast__matchers_1_1PortMatcher.html#a1b9e80abf23554b2ea5fbc5e014197c7',1,'sc_ast_matchers::PortMatcher::getOtherVars()'],['../classsystemc__clang_1_1ModuleInstance.html#a0e3b99f52a403a903ce960b75da353c2',1,'systemc_clang::ModuleInstance::getOtherVars()']]], + ['getoutgoingtransitions_178',['getOutgoingTransitions',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f17d7f6c359ed983d9d7a4ef643f904',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getoutputinterfaces_179',['getOutputInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#af1af50f52696772f60128dd5311e987e',1,'systemc_clang::FindTLMInterfaces']]], + ['getoutputports_180',['getOutputPorts',['../classsc__ast__matchers_1_1PortMatcher.html#aa605f5aced640939f0152311d221d009',1,'sc_ast_matchers::PortMatcher']]], + ['getoutputstreamports_181',['getOutputStreamPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a6fdcd78f0d6757dedaaef047f7432333',1,'sc_ast_matchers::PortMatcher::getOutputStreamPorts()'],['../classsystemc__clang_1_1ModuleInstance.html#a5f1fafe86119ded33a0677ba4b3546c4',1,'systemc_clang::ModuleInstance::getOutputStreamPorts()']]], + ['getparent_182',['getParent',['../classsystemc__clang_1_1TreeNode.html#a2ce70274be535f34483bd969ebf32f93',1,'systemc_clang::TreeNode']]], + ['getparentblockid_183',['getParentBlockID',['../classsystemc__clang_1_1SusCFG.html#ac9b31dde5f5087e9c0d8c21fb6ef203c',1,'systemc_clang::SusCFG']]], + ['getparentcfgblock_184',['getParentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a6566e476db1533a07d8743c2edc91626',1,'systemc_clang::SusCFG']]], + ['getparentdecl_185',['getParentDecl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a324d09869eef75753463a529e32d6929',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getparentsuscfgblock_186',['getParentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a62f906a5658d0a1eea0c3af5900a60cf',1,'systemc_clang::SusCFG']]], + ['getparmfields_187',['getParmFields',['../classTemplateParametersMatcher.html#a7883f29397d44c75d482ddf4ac5a6381',1,'TemplateParametersMatcher']]], + ['getpathid_188',['getPathId',['../structsystemc__clang_1_1SupplementaryInfo.html#a3c56e04cec0cd4487d324f7b84a06f03',1,'systemc_clang::SupplementaryInfo']]], + ['getpathinfo_189',['getPathInfo',['../classsystemc__clang_1_1SplitCFG.html#abc24a2c133cd24e636f271b8077bed10',1,'systemc_clang::SplitCFG']]], + ['getpathsfound_190',['getPathsFound',['../classsystemc__clang_1_1SplitCFG.html#a78cd9aa887a86043285e036615422f5a',1,'systemc_clang::SplitCFG']]], + ['getportbindings_191',['getPortBindings',['../classsystemc__clang_1_1ModuleInstance.html#a73b6367395708b4e5bc1383c9b450900',1,'systemc_clang::ModuleInstance']]], + ['getportname_192',['getPortName',['../classsystemc__clang_1_1PortBinding.html#a13f4df2268461d2eb2923c81d7fb3cb6',1,'systemc_clang::PortBinding']]], + ['getports_193',['getPorts',['../classsc__ast__matchers_1_1PortMatcher.html#a001d61bbd199579a5320475576cf11c8',1,'sc_ast_matchers::PortMatcher']]], + ['getportsignalmap_194',['getPortSignalMap',['../classsystemc__clang_1_1FindNetlist.html#a51222b712d7b801d2e4bbf277e85b834',1,'systemc_clang::FindNetlist']]], + ['getpredblocks_195',['getPredBlocks',['../classsystemc__clang_1_1SusCFG.html#a84e8585af04867b898f585ec34ce7c05',1,'systemc_clang::SusCFG']]], + ['getpredecessors_196',['getPredecessors',['../classsystemc__clang_1_1SplitCFGBlock.html#a7eb992dbf07d5f0c847557616fdc828b',1,'systemc_clang::SplitCFGBlock::getPredecessors()'],['../classsystemc__clang_1_1Node.html#a98cc154cafe1a949c40a72639484a43f',1,'systemc_clang::Node::getPredecessors()']]], + ['getprocessmap_197',['getProcessMap',['../classsystemc__clang_1_1ModuleInstance.html#ae167b8a6c5d9841e4d2ef6663ce6b68d',1,'systemc_clang::ModuleInstance']]], + ['getprocesstype_198',['getProcessType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ad8229147a1e4fa479126e3761da2f202',1,'systemc_clang::EntryFunctionContainer']]], + ['getresetedge_199',['getResetEdge',['../classsc__ast__matchers_1_1ResetMatcher.html#a48c7726953c5bd9c208e18fc0bf6527a',1,'sc_ast_matchers::ResetMatcher::getResetEdge()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a714a2d96be7908757edd8c5f191217b8',1,'systemc_clang::EntryFunctionContainer::getResetEdge()']]], + ['getresetsignal_200',['getResetSignal',['../classsc__ast__matchers_1_1ResetMatcher.html#a110961715abcab3a73678f18e7634b63',1,'sc_ast_matchers::ResetMatcher::getResetSignal()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a2fda18f63ad9fc844cd7ef75639fd315',1,'systemc_clang::EntryFunctionContainer::getResetSignal()']]], + ['getresettype_201',['getResetType',['../classsc__ast__matchers_1_1ResetMatcher.html#a2c5f286bc44a932a918f1eadb061cb75',1,'sc_ast_matchers::ResetMatcher']]], + ['getroot_202',['getRoot',['../classsystemc__clang_1_1Tree.html#a968907782bf4b8a7850b5ad12099ce37',1,'systemc_clang::Tree']]], + ['getrootmoduleinstance_203',['getRootModuleInstance',['../classsystemc__clang_1_1Model.html#a04cfa4254df094161b4f8672d6fbac90',1,'systemc_clang::Model']]], + ['getsauto_204',['getSauto',['../classsystemc__clang_1_1SuspensionAutomata.html#a295186ac19f69fe8bf49b502e70a25bd',1,'systemc_clang::SuspensionAutomata']]], + ['getscmainfunctiondecl_205',['getSCMainFunctionDecl',['../classsystemc__clang_1_1FindSCMain.html#a2c762425466550af80fef688fa3d2c35',1,'systemc_clang::FindSCMain']]], + ['getsensemap_206',['getSenseMap',['../classsystemc__clang_1_1EntryFunctionContainer.html#a343064ab06a578f0f0d855aad1d409e4',1,'systemc_clang::EntryFunctionContainer']]], + ['getsensitivitymap_207',['getSensitivityMap',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a99d19c738a2ae450e9d459ccefdcd4ec',1,'sc_ast_matchers::SensitivityMatcher']]], + ['getsenslists_208',['GetSensLists',['../classsystemc__hdl_1_1HDLConstructorHcode.html#aa30f56ed86fe252a5293a7c52c9372dd',1,'systemc_hdl::HDLConstructorHcode']]], + ['getsignals_209',['getSignals',['../classsc__ast__matchers_1_1PortMatcher.html#a39286bcd036ed97667d3dc86589a7f63',1,'sc_ast_matchers::PortMatcher::getSignals()'],['../classsystemc__clang_1_1ModuleInstance.html#af851f06fc902cd0a3a90b78e2804a16e',1,'systemc_clang::ModuleInstance::getSignals()']]], + ['getsimtime_210',['getSimTime',['../classsystemc__clang_1_1State.html#acf009bf9bd20107ee1220c4315a1222b',1,'systemc_clang::State']]], + ['getsourcemanager_211',['getSourceManager',['../classsystemc__clang_1_1SystemCConsumer.html#a4272ad2c5a6239127aa4cbe6cd27c1a8',1,'systemc_clang::SystemCConsumer']]], + ['getsplitcfgblock_212',['getSplitCFGBlock',['../structsystemc__clang_1_1SupplementaryInfo.html#acdecfa485f4b64d3548b104380b6df25',1,'systemc_clang::SupplementaryInfo']]], + ['getsubmodules_213',['getSubmodules',['../classsc__ast__matchers_1_1PortMatcher.html#a33169f3cd54a4fdb192f3f2f4dc6aadd',1,'sc_ast_matchers::PortMatcher::getSubmodules()'],['../classsystemc__clang_1_1ModuleInstance.html#aed26ab69752330db3c975c94bdce616a',1,'systemc_clang::ModuleInstance::getSubmodules()']]], + ['getsuccblocks_214',['getSuccBlocks',['../classsystemc__clang_1_1SusCFG.html#a581f1ab02fa66de70074e2617167214c',1,'systemc_clang::SusCFG']]], + ['getsuccessors_215',['getSuccessors',['../classsystemc__clang_1_1SplitCFGBlock.html#aad19a1285d7babcb7e7e3ba81fcecb78',1,'systemc_clang::SplitCFGBlock::getSuccessors()'],['../classsystemc__clang_1_1Node.html#a60a39d782ae02c37b1fd8475c53b78b1',1,'systemc_clang::Node::getSuccessors()']]], + ['getsusauto_216',['getSusAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a9d3d27700a2458a309caf51814374d2e',1,'systemc_clang::EntryFunctionContainer']]], + ['getsuscfg_217',['getSusCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#af746bf192e581ad16eec6883ef1b1474',1,'systemc_clang::EntryFunctionContainer::getSusCFG()'],['../classsystemc__clang_1_1SuspensionAutomata.html#a4da78a5159402bb5380b8a427396ef65',1,'systemc_clang::SuspensionAutomata::getSusCFG()']]], + ['getsuscfgblockgpumacromap_218',['getSusCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa4036307db9207ba72c3ee12602bed21',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['getsystemcmodel_219',['getSystemCModel',['../classsystemc__clang_1_1SystemCConsumer.html#ae1eda508d3a15cb37f332205f8127e04',1,'systemc_clang::SystemCConsumer']]], + ['gettemplateargs_220',['getTemplateArgs',['../classsystemc__clang_1_1FindTemplateParameters.html#a39e2a33dbb7a8446e4a51847e3d07896',1,'systemc_clang::FindTemplateParameters']]], + ['gettemplateargtreeptr_221',['getTemplateArgTreePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a6379b187759bbf16c8e378d2716cf3e9',1,'systemc_clang::FindTemplateTypes']]], + ['gettemplateparameters_222',['getTemplateParameters',['../classsystemc__clang_1_1FindTemplateParameters.html#af993cd8c8c54ed5873d73d1bf6b1bb2a',1,'systemc_clang::FindTemplateParameters::getTemplateParameters()'],['../classsystemc__clang_1_1ModuleInstance.html#a2197a3fc55319daf2e643ff0639595fe',1,'systemc_clang::ModuleInstance::getTemplateParameters()']]], + ['gettemplatetype_223',['getTemplateType',['../classsystemc__clang_1_1InterfaceDecl.html#ac9c4e5ff8c8c64f5a61e263e4ab07ba5',1,'systemc_clang::InterfaceDecl::getTemplateType()'],['../classsystemc__clang_1_1PortDecl.html#a97faf57eddf2f20618033bf334301c4c',1,'systemc_clang::PortDecl::getTemplateType()']]], + ['gettemplatetypes_224',['getTemplateTypes',['../classsystemc__clang_1_1SignalDecl.html#abf1d2f397d5de7eab11ccd03fa4e50c6',1,'systemc_clang::SignalDecl']]], + ['getthreadidx_225',['getThreadIdx',['../classsystemc__clang_1_1GPUMacro.html#ab348265f86258f210a9be7bc35f77d13',1,'systemc_clang::GPUMacro']]], + ['getthreadidy_226',['getThreadIdy',['../classsystemc__clang_1_1GPUMacro.html#a0331700e0828b07120ef8f12f340049d',1,'systemc_clang::GPUMacro']]], + ['getthreadidz_227',['getThreadIdz',['../classsystemc__clang_1_1GPUMacro.html#a904d8a5936cfe65ae875ea9b5fd14dc6',1,'systemc_clang::GPUMacro']]], + ['gettime_228',['getTime',['../classsystemc__clang_1_1SuspensionAutomata.html#ae1396c0d87d664b56ea77d0ff2daf89c',1,'systemc_clang::SuspensionAutomata']]], + ['gettimeadvancevector_229',['getTimeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a8eded928724037fb5f09f6c723c776cb',1,'systemc_clang::Edge']]], + ['gettimefortransition_230',['getTimeForTransition',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a99c7a6927d270bdd1086cfba84a3c190',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettoid_231',['getToId',['../classsystemc__clang_1_1Edge.html#a85da4c31db3a91fc4a4907611ac7a85c',1,'systemc_clang::Edge']]], + ['gettopmodule_232',['getTopModule',['../classsystemc__clang_1_1SystemCConsumer.html#a1a302f2c0ce93bacfe2287d4e76a537e',1,'systemc_clang::SystemCConsumer']]], + ['gettotalwaits_233',['getTotalWaits',['../classsystemc__clang_1_1WaitCalls.html#ad77764ccdbfc71ac37aac08a734b9e58',1,'systemc_clang::WaitCalls']]], + ['gettransitionsattime_234',['getTransitionsAtTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a9c9a58b29db12a757c11c94cd7ff09e0',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettransitiontimemap_235',['getTransitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f28483e547807bbc4084904594e89cb',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettransporttype_236',['getTransportType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad142848415fb20323f067f922baad102',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gettruepath_237',['getTruePath',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a71ffcfeba6ed5ed450bf5eef4182d9d6',1,'systemc_clang::SplitCFGPathInfo']]], + ['gettype_238',['getType',['../classsystemc__clang_1_1ProcessDecl.html#a640153a556d93a7163b8161f32c18157',1,'systemc_clang::ProcessDecl']]], + ['gettypename_239',['getTypeName',['../classsystemc__clang_1_1TemplateType.html#a0b6b95ff48738820f98c16d85278ea72',1,'systemc_clang::TemplateType']]], + ['gettypeptr_240',['getTypePtr',['../classsystemc__clang_1_1TemplateType.html#a873bc0e213fb4bb72c467c8fd894013b',1,'systemc_clang::TemplateType']]], + ['getunvisitedsuccessor_241',['getUnvisitedSuccessor',['../classsystemc__clang_1_1SplitCFG.html#a562461795ba3bd2f8b5df7d58ef9bdfd',1,'systemc_clang::SplitCFG']]], + ['getvarname_242',['getVarName',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a004aafc0a8aa3e9232f1fdb142328ef4',1,'sc_ast_matchers::ModuleInstanceType']]], + ['getwaitarg_243',['GetWaitArg',['../classsystemc__hdl_1_1HDLBody.html#a4ad9a852873012e553644d115b23cbc9',1,'systemc_hdl::HDLBody']]], + ['getwaitarg_244',['getWaitArg',['../classsystemc__clang_1_1SplitCFGBlock.html#a329a43c8c5b67318bfe7cf718019aa42',1,'systemc_clang::SplitCFGBlock']]], + ['getwaitargument_245',['getWaitArgument',['../classsystemc__clang_1_1SplitCFG.html#a90f1b58c4c9f717887f7424795a12a66',1,'systemc_clang::SplitCFG']]], + ['getwaitcalls_246',['getWaitCalls',['../classsystemc__clang_1_1FindWait.html#aa4f894b091b8b5b4262ea98804b321b6',1,'systemc_clang::FindWait::getWaitCalls()'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a47c3469ecff9e10080f70459cbec7331',1,'systemc_clang::EntryFunctionContainer::getWaitCalls()']]], + ['getwaitlist_247',['getWaitList',['../classsystemc__clang_1_1WaitCalls.html#a48da43f79f4cb4b9383f252db6e1f8bb',1,'systemc_clang::WaitCalls']]], + ['getwaitstmt_248',['getWaitStmt',['../classsystemc__clang_1_1SusCFG.html#a89a0f3c5d082345be8584dd591cf9036',1,'systemc_clang::SusCFG']]], + ['globalsuspensionautomata_249',['GlobalSuspensionAutomata',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abb5f142fe7bd8216fd43ac3ba56c38e8',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['gpumacro_250',['GPUMacro',['../classsystemc__clang_1_1GPUMacro.html#acafbcb39ca1438df64a8a569af3067fd',1,'systemc_clang::GPUMacro::GPUMacro(int, int, int, int, int, int, int, int)'],['../classsystemc__clang_1_1GPUMacro.html#af05ea14efc34ed971726a8f63f296ff6',1,'systemc_clang::GPUMacro::GPUMacro()']]], + ['gpumap_251',['GPUMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6cb4e29442e0d8d53e3c073873f63e4a',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['graph_252',['Graph',['../classsystemc__clang_1_1Graph.html#ae4c72b8ac4d693c49800a4c7e273654f',1,'systemc_clang::Graph']]] ]; diff --git a/search/functions_8.js b/search/functions_8.js index c17f8e52..12c3fe08 100644 --- a/search/functions_8.js +++ b/search/functions_8.js @@ -1,81 +1,86 @@ var searchData= [ ['haddassign_0',['haddassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#ae177752a172c46b36e2c787feada8088',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['handletranslationunit_1',['HandleTranslationUnit',['../classsystemc__clang_1_1SystemCConsumer.html#a905aa1175d3b875a286ce3104f397ab3',1,'systemc_clang::SystemCConsumer']]], - ['harrayref_2',['harrayref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a348570648af5eb5c41537504224d4feb',1,'parselib.transforms.function_info_pass.FunctionInfoPass.harrayref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a09e5532d75c87d6546aab48a68819954',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.harrayref()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a0930ddc7543f92353fb64526af919c86',1,'parselib.transforms.slice_merge.SliceMerge.harrayref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a0f4cc16462f30106e1183d186d66e1df',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.harrayref()']]], - ['hasbreak_3',['hasBreak',['../classsystemc__clang_1_1BreakMatcher.html#aaa2a74c94b0051ee18177e6498d3038f',1,'systemc_clang::BreakMatcher']]], - ['haschildren_4',['hasChildren',['../classsystemc__clang_1_1Tree.html#aff47f4e8acfe34d346bb6f73c24241e3',1,'systemc_clang::Tree']]], - ['hasterminatorbreak_5',['hasTerminatorBreak',['../classsystemc__clang_1_1SplitCFGBlock.html#aef8c62235c7e55b076ddbfe2be551d4c',1,'systemc_clang::SplitCFGBlock']]], - ['hasterminatorwait_6',['hasTerminatorWait',['../classsystemc__clang_1_1SplitCFGBlock.html#a5d9be251b03c4b3cebb22e264fb30e21',1,'systemc_clang::SplitCFGBlock']]], - ['haswait_7',['hasWait',['../classsystemc__clang_1_1BreakMatcher.html#a73ab67b4359458b2225500eff2018062',1,'systemc_clang::BreakMatcher::hasWait()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a9c7ead6788fca6cf495f1af4da835505',1,'systemc_clang::SplitCFGBlock::hasWait()']]], - ['hasync_8',['hasync',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a662daf85e1d4bebb8906d002e3d6518d',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['hbindingarrayref_9',['hbindingarrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a72ccfd5c4758079465b15742996a8ccd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hbinop_10',['hbinop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a78894286818d32e713321c9427ab7ca9',1,'parselib.transforms.alias_translation.AliasTranslation.hbinop()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a3fee83161f553b568e692cf856f10f5e',1,'parselib.transforms.comma_transformation.CommaTransformation.hbinop()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#af090908cfa486f4b979f3a2c70b00fde',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hbinop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a775df6fe970bf46ca40d69c16ab19df2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbinop(self, tree)']]], - ['hbuiltin_11',['hbuiltin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a156223e08cf0b9502c3291c149d58408',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hcomma_12',['hcomma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af8df3ae0f683f6431d936d7aebe13af9',1,'parselib::transforms::comma_transformation::CommaTransformation']]], - ['hcompoundasisgn_13',['hcompoundasisgn',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a0b18a382bcc728ab482ed28d037efe82',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['hconcat_14',['hconcat',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca843d09b28e0ae6073512647679e15d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hcondop_15',['hcondop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a305feae4972915c4929d5bda63911659',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hcstmt_16',['hcstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6251928b4d73abebbbf33b25b0a984e2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hdeptype_17',['hdeptype',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#ac373f1dbfeccc09a26fceaf1f0a32324',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['hdlaxn_18',['HDLAXN',['../classsystemc__hdl_1_1HDLAXN.html#a39a47fb32711262a05801e505f55b033',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top)'],['../classsystemc__hdl_1_1HDLAXN.html#acc2ac92fa83be7d971cbb53bc98a3d25',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top, const std::string &hdl_file_out)']]], - ['hdlbody_19',['HDLBody',['../classsystemc__hdl_1_1HDLBody.html#a76dbd4e51a2f53320742fbd250ffe89f',1,'systemc_hdl::HDLBody']]], - ['hdlconstructorhcode_20',['HDLConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a6bafffa08d3113400f5e5ac189da0fca',1,'systemc_hdl::HDLConstructorHcode']]], - ['hdlfrontendactionfactory_21',['HDLFrontendActionFactory',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a8398978f30073f7c118acfed502515a8',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top)'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a58202e7513d1d064a0030f40b672187f',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top, const std::string &hdl_file_out)']]], - ['hdlloop_22',['HDLLoop',['../classsystemc__hdl_1_1HDLConstructorHcode.html#af6bb68caced4b2ead1de429b68da3f47',1,'systemc_hdl::HDLConstructorHcode']]], - ['hdlmain_23',['HDLMain',['../classsystemc__hdl_1_1HDLMain.html#a563a3dd0e92d4cea92109b59383d5971',1,'systemc_hdl::HDLMain::HDLMain(CompilerInstance &ci, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")'],['../classsystemc__hdl_1_1HDLMain.html#ae29d8fa3a6194fe4ed655dd87dccdd27',1,'systemc_hdl::HDLMain::HDLMain(ASTUnit *from_ast, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")']]], - ['hdlpluginaction_24',['HDLPluginAction',['../classsystemc__hdl_1_1HDLPluginAction.html#aa7261191d6534cc7903802c45729ce96',1,'systemc_hdl::HDLPluginAction']]], - ['hdlthread_25',['HDLThread',['../classsystemc__hdl_1_1HDLThread.html#ae97d4747c4c013863af766b8f90961d6',1,'systemc_hdl::HDLThread']]], - ['hdltype_26',['HDLType',['../classHDLType.html#aaa8e5116a4baaba73ab526315de39c78',1,'HDLType']]], - ['hfieldaccess_27',['hfieldaccess',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a63da1180888e63b601f24c23a3926bf7',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['hfunction_28',['hfunction',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a49f12588ede2effeb2aa08a8a30a6e9f',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunction()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a7d4b3a56ea7bd17e8de7d8c1ee294e85',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hfunction()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ae7fd3b27526e250f177f5de10d93d85a',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hfunction()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afdbf66016b731cfa572620dfc177d810',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunction()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ac5dc3b7eeb545a2db394dd042151a066',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunction()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2dc6d1933a68ca9f781f1f1b3992159c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunction()']]], - ['hfunctionbody_29',['hfunctionbody',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a1db36a84124e2a2b6efd071be5399e22',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['hfunctionlocalvars_30',['hfunctionlocalvars',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a3b4457b6e83827130f33b15e3fcf4e57',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ad5f2fb6ea53d0981299f04e272f81911',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#afaf3f1ed0fd8ada672d39dad8532f23b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a77e42150198b2bb22fe905c0efb5fcdc',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionlocalvars()']]], - ['hfunctionparams_31',['hfunctionparams',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aa5a427252cdf24377ad0fc5cf0b810ea',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af8ae5cc39ad08c0dd32cf365a2be45c8',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a77a72766da59f7d938f2b4ef68d2353a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionparams()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1837e51f7961c2fd982571cffa576220',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionparams(self, tree)']]], - ['hfunctionrettype_32',['hfunctionrettype',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aefa4397f350946a0af4be47f63ff01f4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hliteral_33',['hliteral',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8b673973a69eccbf27b1ce0960ecee5c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hlrotate_34',['hlrotate',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae396164763249931fd4cff6b65cb27e1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hmethodcall_35',['hmethodcall',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a254a841b7b73a0bcc93b894d0a4b0a86',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmethodcall()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a096716a5b33541ac8554939816df2457',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmethodcall()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a170bfed67ddd699a1b4ec7937d429152',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmethodcall()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6b94abfeffdd25a1246f9face93784c4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmethodcall()']]], - ['hmodinitblock_36',['hmodinitblock',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa46e97edd55501747cf3d37975038017',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]], - ['hmodule_37',['hmodule',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a0e27319c8ad07fff6ccd6d68772c785c',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmodule()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a30bcf09e9e3f48bd9d1a137e5f665ff8',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hmodule()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae6056dcc3f8fc698da8deb45e3b9189c',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmodule()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a77742524a349ffde51299b176b24f01e',1,'parselib.transforms.literal_expansion.LiteralExpansion.hmodule()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#af12b99caf1db89767d66642d8a177f28',1,'parselib.transforms.node_movement.NodeMovement.hmodule()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a685532569f8c681b1c266608f60a3295',1,'parselib.transforms.port_expansion.PortExpansion.hmodule()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#af565781dff9a73ede9f280348114ca02',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.hmodule()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a14eee44280f334b0c55288f14383fc88',1,'parselib.transforms.structure_collector.StructureCollector.hmodule()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a3a0980d5e01ee6800344fceb98f9074d',1,'parselib.transforms.type_collector.TypeCollector.hmodule()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a650b7edae6ab9e3af555d0aee35865fc',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmodule()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2a5203b9cfd0fee95a795d45eceee7db',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmodule()']]], - ['hnode_38',['hNode',['../classhnode_1_1hNode.html#a16258c9b7990cde944815124ccddd4bd',1,'hnode::hNode::hNode(bool lf)'],['../classhnode_1_1hNode.html#aa8237ce3fce5b886d6220282572a3071',1,'hnode::hNode::hNode(hdlopsEnum h)'],['../classhnode_1_1hNode.html#ac72ce07937a6dfb6b691737a2ff8d748',1,'hnode::hNode::hNode(string s, hdlopsEnum h)']]], - ['hnodedeepcopy_39',['HnodeDeepCopy',['../classsystemc__hdl_1_1HDLConstructorHcode.html#adea88dbd8d04f1b28ce8f35337fa4425',1,'systemc_hdl::HDLConstructorHcode']]], - ['hnoop_40',['hnoop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abb931cefc32b39aa693561f0ee06adc1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hnsbinop_41',['hnsbinop',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a754267c03f07541e79669ab7a4d18645',1,'parselib.transforms.comma_transformation.CommaTransformation.hnsbinop()'],['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#adb7e0b5705dc36a347d76629ebc4433c',1,'parselib.transforms.node_merge.NodeMergePass.hnsbinop()']]], - ['horreduce_42',['horreduce',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad1bd82cba02bcaba64a7a8b645243b7b',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hpostfix_43',['hpostfix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adad090e1b690c5be6e16e51a19cd5c90',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hprefix_44',['hprefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a24a64ffd7a138df7f927f1a17e20d443',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hprocess_45',['hprocess',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a37af5a440d35f4a0ed019ed3ef8010ef',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hprocess()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a94d04faa21eb663e1607563562c23d10',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hprocess()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae655aef840182f33d8ca093aee05b704',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hprocess()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#aa26213b7203db48414ff355cb2be6a74',1,'parselib.transforms.node_movement.NodeMovement.hprocess()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5f1d7e77bcb58c6c810101a67b21b367',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hprocess()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac25ffa4fa2dc061667d8505740ba9214',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hprocess(self, tree)']]], - ['hreturnstmt_46',['hreturnstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aacb98c9929d0759fbdc09ea3900673e5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hscmax_47',['hscmax',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a008e2b129046cebd70447a0de32665d4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hscmin_48',['hscmin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac49eeebc0eee0b6bfa0809639ec612c7',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hsensedge_49',['hsensedge',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a7612618ef456c3e98a3c8711233bd78e',1,'parselib.transforms.alias_translation.AliasTranslation.hsensedge()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aebfd3610d499c8261a70ff3890e94ea9',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensedge()']]], - ['hsenslist_50',['hsenslist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af846a05be803edf503d678176a7ddd82',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsenslist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aaef80ae1ed0f080c0e6f7ee40f50f662',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsenslist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9c65df59acc46315226cad6c46c1ef80',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsenslist()']]], - ['hsensvar_51',['hsensvar',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a25dc7adff5bd2146353976093b2027eb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsensvar()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a520bd7c4cd16bc122c81850f5b3cc411',1,'parselib.transforms.literal_expansion.LiteralExpansion.hsensvar()']]], - ['hsensvars_52',['hsensvars',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5748aa7bae1c58cbb4b46b098e6fb039',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsensvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3de7cc9aa6ecc9327aa1ebf96ba1f476',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensvars(self, tree)']]], - ['hsigassignl_53',['hsigassignl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa1a0416664b533a8209861cc616c8131',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hslice_54',['hslice',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad21d1ae316d24e1045ba3d277138fcde',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hslice()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad6186eea39f7801a7333c4290c762c94',1,'parselib.transforms.slice_merge.SliceMerge.hslice()']]], - ['hsubassign_55',['hsubassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#abeea4c275a03d67c884e5129a36b427a',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['hthread_56',['hthread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aedabd5c66b0a225e3d51298de9f8be8a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hthreadswitch_57',['hthreadswitch',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9926cce0e6cdff9702335d8bbbe03ae4',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a20cea867b182fc1d5aa7076f9fe92da1',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab51a050660f427f82a87f1de207c37f1',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthreadswitch(self, tree)']]], - ['hthreadsync_58',['hthreadsync',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2e9919e0460d78474f3fa1d98760f3a5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htoint_59',['htoint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a86b0f9982fd638e79b76b93dd729c60e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htolong_60',['htolong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5a8c3ad5128c58e144128b5fe0ffedfd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htouint_61',['htouint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9484512864190461090af1781c8f9901',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htoulong_62',['htoulong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac4fdc30a8a769726e3f35359d585adca',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htype_63',['htype',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a1019802de34078af5e4361f2f2d1bc6f',1,'parselib.transforms.alias_translation.AliasTranslation.htype()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aa453750e23036adef812e38fca508df4',1,'parselib.transforms.literal_expansion.LiteralExpansion.htype()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a8db8e3db81f9ad75eaec2da9fbeff21f',1,'parselib.transforms.type_collector.TypeCollector.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a9092937b523ee735ef38e9c415a70614',1,'parselib.transforms.typedef_filter.TypeDefFilter.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a0dda55ead00c86bcc0a1aba3437b6c3b',1,'parselib.transforms.typedef_filter.TypeDefCleanup.htype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae14195f5c6f050c0471274d5648b67e2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.htype()']]], - ['htypealias_64',['htypealias',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#acc11392653266feb9b4c7ed2714b2e23',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['htypearray_65',['htypearray',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a5f133326a823a1660166f76d5a55883f',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['htypedef_66',['htypedef',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a25f960997deee0b8130a944608cfc6e4',1,'parselib.transforms.type_collector.TypeCollector.htypedef()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a2bf22c110cdaea1ec02f2b3f021a4a02',1,'parselib.transforms.typedef_filter.TypeDefFilter.htypedef()']]], - ['htypefield_67',['htypefield',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a34e51372eae4d5c44b51bceddd2ab5d4',1,'parselib::transforms::type_collector::TypeCollector']]], - ['htypefields_68',['htypefields',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#aba43b1b5a042a2d626f85ed44393f6f3',1,'parselib::transforms::type_collector::TypeCollector']]], - ['htypeinfo_69',['htypeinfo',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a57335e1c9a7e60968c2519af2224aa99',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['htypeint_70',['htypeint',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aac53cf940a368e6072b4153ac4c0bef6',1,'parselib.transforms.literal_expansion.LiteralExpansion.htypeint()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ab89b656f4cf87cde49a7a8fa07d99154',1,'parselib.transforms.type_collector.TypeCollector.htypeint(self, args)']]], - ['htypetemplateparam_71',['htypetemplateparam',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a4cb4dd5ebb371ab5fdc634830bef1670',1,'parselib::transforms::type_collector::TypeCollector']]], - ['htypetemplateparams_72',['htypetemplateparams',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ac9f3092f5ff6d10b806e86a4d21999ad',1,'parselib::transforms::type_collector::TypeCollector']]], - ['hunop_73',['hunop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b4652770f0b59fd58c0ee7a0a24a72f',1,'parselib.transforms.alias_translation.AliasTranslation.hunop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aed949cd7808e3f90b315f21749bd4e91',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hunop(self, tree)']]], - ['hvalchange_74',['hvalchange',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa9203636287f950b0d33565441432e92',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hvarinitlist_75',['hvarinitlist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a945707207f38ce0f3a8dcbf6bc433b62',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['hvarref_76',['hvarref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a7a0a929d491628697f9141d58df4957d',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hvarref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7e2a92eca53558bc1752b15ca647bfb2',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hvarref()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a1cdba60c6bbada959e1f3acb1796f5e9',1,'parselib.transforms.literal_expansion.LiteralExpansion.hvarref()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#af5bc9233ecdd93a0f39f4bfab6b38970',1,'parselib.transforms.type_collector.TypeCollector.hvarref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8c7b8a0c1705a25950f89ce84c9ad991',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvarref(self, tree)']]], - ['hwait_77',['hwait',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6f04f7970b9d0997723806aaa77b5f8c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]] + ['handle_5flist_1',['handle_list',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#acf8ab8e0d49743bc809e2bdd48240bf1',1,'parselib::transforms::literal_expansion::LiteralExpansion2']]], + ['handletranslationunit_2',['HandleTranslationUnit',['../classsystemc__clang_1_1SystemCConsumer.html#a905aa1175d3b875a286ce3104f397ab3',1,'systemc_clang::SystemCConsumer']]], + ['harrayref_3',['harrayref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a348570648af5eb5c41537504224d4feb',1,'parselib.transforms.function_info_pass.FunctionInfoPass.harrayref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a09e5532d75c87d6546aab48a68819954',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.harrayref()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#aa53c1fe8fae515bd6e1fc79a9503d9a6',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.harrayref()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#a0930ddc7543f92353fb64526af919c86',1,'parselib.transforms.slice_merge.SliceMerge.harrayref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a0f4cc16462f30106e1183d186d66e1df',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.harrayref()']]], + ['hasbreak_4',['hasBreak',['../classsystemc__clang_1_1BreakMatcher.html#aaa2a74c94b0051ee18177e6498d3038f',1,'systemc_clang::BreakMatcher']]], + ['haschildren_5',['hasChildren',['../classsystemc__clang_1_1Tree.html#aff47f4e8acfe34d346bb6f73c24241e3',1,'systemc_clang::Tree']]], + ['hasterminatorbreak_6',['hasTerminatorBreak',['../classsystemc__clang_1_1SplitCFGBlock.html#aef8c62235c7e55b076ddbfe2be551d4c',1,'systemc_clang::SplitCFGBlock']]], + ['hasterminatorwait_7',['hasTerminatorWait',['../classsystemc__clang_1_1SplitCFGBlock.html#a5d9be251b03c4b3cebb22e264fb30e21',1,'systemc_clang::SplitCFGBlock']]], + ['haswait_8',['hasWait',['../classsystemc__clang_1_1BreakMatcher.html#a73ab67b4359458b2225500eff2018062',1,'systemc_clang::BreakMatcher::hasWait()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a9c7ead6788fca6cf495f1af4da835505',1,'systemc_clang::SplitCFGBlock::hasWait()']]], + ['hasync_9',['hasync',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a662daf85e1d4bebb8906d002e3d6518d',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['hbindingarrayref_10',['hbindingarrayref',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a72ccfd5c4758079465b15742996a8ccd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hbinop_11',['hbinop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a78894286818d32e713321c9427ab7ca9',1,'parselib.transforms.alias_translation.AliasTranslation.hbinop()'],['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a3fee83161f553b568e692cf856f10f5e',1,'parselib.transforms.comma_transformation.CommaTransformation.hbinop()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#af090908cfa486f4b979f3a2c70b00fde',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hbinop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a775df6fe970bf46ca40d69c16ab19df2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hbinop(self, tree)']]], + ['hbuiltin_12',['hbuiltin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a156223e08cf0b9502c3291c149d58408',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hcomma_13',['hcomma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af8df3ae0f683f6431d936d7aebe13af9',1,'parselib::transforms::comma_transformation::CommaTransformation']]], + ['hcompoundasisgn_14',['hcompoundasisgn',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a0b18a382bcc728ab482ed28d037efe82',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['hconcat_15',['hconcat',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aca843d09b28e0ae6073512647679e15d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hcondop_16',['hcondop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a305feae4972915c4929d5bda63911659',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hcstmt_17',['hcstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6251928b4d73abebbbf33b25b0a984e2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hdeptype_18',['hdeptype',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#ac373f1dbfeccc09a26fceaf1f0a32324',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['hdlaxn_19',['HDLAXN',['../classsystemc__hdl_1_1HDLAXN.html#a39a47fb32711262a05801e505f55b033',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top)'],['../classsystemc__hdl_1_1HDLAXN.html#acc2ac92fa83be7d971cbb53bc98a3d25',1,'systemc_hdl::HDLAXN::HDLAXN(const std::string &top, const std::string &hdl_file_out)']]], + ['hdlbody_20',['HDLBody',['../classsystemc__hdl_1_1HDLBody.html#a76dbd4e51a2f53320742fbd250ffe89f',1,'systemc_hdl::HDLBody']]], + ['hdlconstructorhcode_21',['HDLConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a6bafffa08d3113400f5e5ac189da0fca',1,'systemc_hdl::HDLConstructorHcode']]], + ['hdlfrontendactionfactory_22',['HDLFrontendActionFactory',['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a8398978f30073f7c118acfed502515a8',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top)'],['../classsystemc__hdl_1_1HDLFrontendActionFactory.html#a58202e7513d1d064a0030f40b672187f',1,'systemc_hdl::HDLFrontendActionFactory::HDLFrontendActionFactory(const std::string &top, const std::string &hdl_file_out)']]], + ['hdlloop_23',['HDLLoop',['../classsystemc__hdl_1_1HDLConstructorHcode.html#af6bb68caced4b2ead1de429b68da3f47',1,'systemc_hdl::HDLConstructorHcode']]], + ['hdlmain_24',['HDLMain',['../classsystemc__hdl_1_1HDLMain.html#a563a3dd0e92d4cea92109b59383d5971',1,'systemc_hdl::HDLMain::HDLMain(CompilerInstance &ci, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")'],['../classsystemc__hdl_1_1HDLMain.html#ae29d8fa3a6194fe4ed655dd87dccdd27',1,'systemc_hdl::HDLMain::HDLMain(ASTUnit *from_ast, std::string topModule="!none", const std::string &hdl_file_out="default_hdl.txt")']]], + ['hdlpluginaction_25',['HDLPluginAction',['../classsystemc__hdl_1_1HDLPluginAction.html#aa7261191d6534cc7903802c45729ce96',1,'systemc_hdl::HDLPluginAction']]], + ['hdlthread_26',['HDLThread',['../classsystemc__hdl_1_1HDLThread.html#ae97d4747c4c013863af766b8f90961d6',1,'systemc_hdl::HDLThread']]], + ['hdltype_27',['HDLType',['../classHDLType.html#aaa8e5116a4baaba73ab526315de39c78',1,'HDLType']]], + ['hfieldaccess_28',['hfieldaccess',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a63da1180888e63b601f24c23a3926bf7',1,'parselib.transforms.literal_expansion.LiteralExpansion.hfieldaccess()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#accd96dabbef477bcd92b45c70f2f10c3',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.hfieldaccess(self, tree)']]], + ['hfieldname_29',['hfieldname',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ac32037e3565221b0a159c68e151b72eb',1,'parselib::transforms::portbinding_recollect::LowerComplexPort']]], + ['hfunction_30',['hfunction',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a49f12588ede2effeb2aa08a8a30a6e9f',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunction()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a7d4b3a56ea7bd17e8de7d8c1ee294e85',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hfunction()'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ae7fd3b27526e250f177f5de10d93d85a',1,'parselib.transforms.function_param_marker.FunctionParamMarker.hfunction()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#afdbf66016b731cfa572620dfc177d810',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunction()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ac5dc3b7eeb545a2db394dd042151a066',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunction()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2dc6d1933a68ca9f781f1f1b3992159c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunction()']]], + ['hfunctionbody_31',['hfunctionbody',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a1db36a84124e2a2b6efd071be5399e22',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['hfunctionlocalvars_32',['hfunctionlocalvars',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a3b4457b6e83827130f33b15e3fcf4e57',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ad5f2fb6ea53d0981299f04e272f81911',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#afaf3f1ed0fd8ada672d39dad8532f23b',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionlocalvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a77e42150198b2bb22fe905c0efb5fcdc',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionlocalvars()']]], + ['hfunctionparams_33',['hfunctionparams',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aa5a427252cdf24377ad0fc5cf0b810ea',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af8ae5cc39ad08c0dd32cf365a2be45c8',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hfunctionparams()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a77a72766da59f7d938f2b4ef68d2353a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hfunctionparams()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1837e51f7961c2fd982571cffa576220',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hfunctionparams(self, tree)']]], + ['hfunctionrettype_34',['hfunctionrettype',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aefa4397f350946a0af4be47f63ff01f4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hgenerateblock_35',['hgenerateblock',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a34e3c7cf7484396a90e6761146d03510',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hgenvardecl_36',['hgenvardecl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2f381e7eedeb8aeab38a71f049c9e774',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hliteral_37',['hliteral',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8b673973a69eccbf27b1ce0960ecee5c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hlrotate_38',['hlrotate',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae396164763249931fd4cff6b65cb27e1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hmethodcall_39',['hmethodcall',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a254a841b7b73a0bcc93b894d0a4b0a86',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmethodcall()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a096716a5b33541ac8554939816df2457',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmethodcall()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a170bfed67ddd699a1b4ec7937d429152',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmethodcall()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6b94abfeffdd25a1246f9face93784c4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmethodcall()']]], + ['hmodinitblock_40',['hmodinitblock',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a8d5cf282e8fccea1469c4ea1e9a88f59',1,'parselib.transforms.port_expansion.PortExpansion.hmodinitblock()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a21b59333a9a10b69256dd2aabe6f868b',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.hmodinitblock()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a89b6312f0e597762be5d727d67ec0be5',1,'parselib.transforms.sensevar_movement.SensevarMovement.hmodinitblock()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa46e97edd55501747cf3d37975038017',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmodinitblock()']]], + ['hmodule_41',['hmodule',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a0e27319c8ad07fff6ccd6d68772c785c',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hmodule()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a30bcf09e9e3f48bd9d1a137e5f665ff8',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hmodule()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae6056dcc3f8fc698da8deb45e3b9189c',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hmodule()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a5464b3ef86c0326d46627acd6b89f206',1,'parselib.transforms.interface_generation.InterfaceGeneration.hmodule()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a0e5ddbedabeb52899b2a48e8f4174322',1,'parselib.transforms.interface_generation.InterfaceReplacement.hmodule()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a77742524a349ffde51299b176b24f01e',1,'parselib.transforms.literal_expansion.LiteralExpansion.hmodule()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#af12b99caf1db89767d66642d8a177f28',1,'parselib.transforms.node_movement.NodeMovement.hmodule()'],['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html#a45326f32f2ca3124993c1097bdf1412d',1,'parselib.transforms.passes.PrettyPrintModule.hmodule()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a685532569f8c681b1c266608f60a3295',1,'parselib.transforms.port_expansion.PortExpansion.hmodule()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#ad944d91fcac2a01a3f796749a705a21b',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.hmodule()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a9242046289bd24018be730181fdc9543',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.hmodule()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#ac8bf6705430c76c2fb72cf5c3060b253',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.hmodule()'],['../classparselib_1_1transforms_1_1reorder__mod__init__block_1_1ReorderModInitBlock.html#af565781dff9a73ede9f280348114ca02',1,'parselib.transforms.reorder_mod_init_block.ReorderModInitBlock.hmodule()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a443177fd16085726f1cb912f545ff940',1,'parselib.transforms.sensevar_movement.SensevarMovement.hmodule()'],['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a14eee44280f334b0c55288f14383fc88',1,'parselib.transforms.structure_collector.StructureCollector.hmodule()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a3a0980d5e01ee6800344fceb98f9074d',1,'parselib.transforms.type_collector.TypeCollector.hmodule()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a650b7edae6ab9e3af555d0aee35865fc',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hmodule()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2a5203b9cfd0fee95a795d45eceee7db',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hmodule()']]], + ['hnamedsensvar_42',['hnamedsensvar',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a72137b9781d39c2104992b02685cfc65',1,'parselib.transforms.sensevar_movement.SensevarMovement.hnamedsensvar()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ace00c82a01a8bfd20239eab67a6a02dd',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hnamedsensvar()']]], + ['hnode_43',['hNode',['../classhnode_1_1hNode.html#a16258c9b7990cde944815124ccddd4bd',1,'hnode::hNode::hNode(bool lf)'],['../classhnode_1_1hNode.html#aa8237ce3fce5b886d6220282572a3071',1,'hnode::hNode::hNode(hdlopsEnum h)'],['../classhnode_1_1hNode.html#ac72ce07937a6dfb6b691737a2ff8d748',1,'hnode::hNode::hNode(string s, hdlopsEnum h)']]], + ['hnodedeepcopy_44',['HnodeDeepCopy',['../classsystemc__hdl_1_1HDLConstructorHcode.html#adea88dbd8d04f1b28ce8f35337fa4425',1,'systemc_hdl::HDLConstructorHcode']]], + ['hnoop_45',['hnoop',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abb931cefc32b39aa693561f0ee06adc1',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hnsbinop_46',['hnsbinop',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a754267c03f07541e79669ab7a4d18645',1,'parselib.transforms.comma_transformation.CommaTransformation.hnsbinop()'],['../classparselib_1_1transforms_1_1node__merge_1_1NodeMergePass.html#adb7e0b5705dc36a347d76629ebc4433c',1,'parselib.transforms.node_merge.NodeMergePass.hnsbinop()']]], + ['horreduce_47',['horreduce',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ad1bd82cba02bcaba64a7a8b645243b7b',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hpostfix_48',['hpostfix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adad090e1b690c5be6e16e51a19cd5c90',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hprefix_49',['hprefix',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a24a64ffd7a138df7f927f1a17e20d443',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hprocess_50',['hprocess',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a37af5a440d35f4a0ed019ed3ef8010ef',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hprocess()'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a94d04faa21eb663e1607563562c23d10',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.hprocess()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae655aef840182f33d8ca093aee05b704',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hprocess()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#ae03d4537e364497457d7131f8806e4a5',1,'parselib.transforms.interface_generation.InterfaceReplacement.hprocess()'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#aa26213b7203db48414ff355cb2be6a74',1,'parselib.transforms.node_movement.NodeMovement.hprocess()'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#aca916c6c246099e0ca4094a1b08268bf',1,'parselib.transforms.sensevar_movement.SensevarMovement.hprocess()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5f1d7e77bcb58c6c810101a67b21b367',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hprocess()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac25ffa4fa2dc061667d8505740ba9214',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hprocess(self, tree)']]], + ['hreturnstmt_51',['hreturnstmt',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aacb98c9929d0759fbdc09ea3900673e5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hscmax_52',['hscmax',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a008e2b129046cebd70447a0de32665d4',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hscmin_53',['hscmin',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac49eeebc0eee0b6bfa0809639ec612c7',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hsensedge_54',['hsensedge',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a7612618ef456c3e98a3c8711233bd78e',1,'parselib.transforms.alias_translation.AliasTranslation.hsensedge()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aebfd3610d499c8261a70ff3890e94ea9',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensedge()']]], + ['hsenslist_55',['hsenslist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#af846a05be803edf503d678176a7ddd82',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsenslist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aaef80ae1ed0f080c0e6f7ee40f50f662',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsenslist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9c65df59acc46315226cad6c46c1ef80',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsenslist()']]], + ['hsensvar_56',['hsensvar',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a25dc7adff5bd2146353976093b2027eb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hsensvar()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a520bd7c4cd16bc122c81850f5b3cc411',1,'parselib.transforms.literal_expansion.LiteralExpansion.hsensvar()']]], + ['hsensvars_57',['hsensvars',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a5748aa7bae1c58cbb4b46b098e6fb039',1,'parselib.transforms.typedef_expansion.TypedefExpansion.hsensvars()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3de7cc9aa6ecc9327aa1ebf96ba1f476',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hsensvars(self, tree)']]], + ['hsigassignl_58',['hsigassignl',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa1a0416664b533a8209861cc616c8131',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hslice_59',['hslice',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad21d1ae316d24e1045ba3d277138fcde',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hslice()'],['../classparselib_1_1transforms_1_1slice__merge_1_1SliceMerge.html#ad6186eea39f7801a7333c4290c762c94',1,'parselib.transforms.slice_merge.SliceMerge.hslice()']]], + ['hsubassign_60',['hsubassign',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#abeea4c275a03d67c884e5129a36b427a',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['hthread_61',['hthread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aedabd5c66b0a225e3d51298de9f8be8a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hthreadswitch_62',['hthreadswitch',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9926cce0e6cdff9702335d8bbbe03ae4',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a20cea867b182fc1d5aa7076f9fe92da1',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hthreadswitch()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab51a050660f427f82a87f1de207c37f1',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hthreadswitch(self, tree)']]], + ['hthreadsync_63',['hthreadsync',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2e9919e0460d78474f3fa1d98760f3a5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htoint_64',['htoint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a86b0f9982fd638e79b76b93dd729c60e',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htolong_65',['htolong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5a8c3ad5128c58e144128b5fe0ffedfd',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htouint_66',['htouint',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9484512864190461090af1781c8f9901',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htoulong_67',['htoulong',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac4fdc30a8a769726e3f35359d585adca',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['htype_68',['htype',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a1019802de34078af5e4361f2f2d1bc6f',1,'parselib.transforms.alias_translation.AliasTranslation.htype()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aa453750e23036adef812e38fca508df4',1,'parselib.transforms.literal_expansion.LiteralExpansion.htype()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#abdb81bb71e2bb6f83772f1bfa3a2a7fc',1,'parselib.transforms.node_movement.ArrayPortMovement.htype()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a8db8e3db81f9ad75eaec2da9fbeff21f',1,'parselib.transforms.type_collector.TypeCollector.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a9092937b523ee735ef38e9c415a70614',1,'parselib.transforms.typedef_filter.TypeDefFilter.htype()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefCleanup.html#a0dda55ead00c86bcc0a1aba3437b6c3b',1,'parselib.transforms.typedef_filter.TypeDefCleanup.htype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae14195f5c6f050c0471274d5648b67e2',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.htype()']]], + ['htypealias_69',['htypealias',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#acc11392653266feb9b4c7ed2714b2e23',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['htypearray_70',['htypearray',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a5f133326a823a1660166f76d5a55883f',1,'parselib::transforms::alias_translation::AliasTranslation']]], + ['htypedef_71',['htypedef',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a25f960997deee0b8130a944608cfc6e4',1,'parselib.transforms.type_collector.TypeCollector.htypedef()'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a2bf22c110cdaea1ec02f2b3f021a4a02',1,'parselib.transforms.typedef_filter.TypeDefFilter.htypedef()']]], + ['htypefield_72',['htypefield',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a34e51372eae4d5c44b51bceddd2ab5d4',1,'parselib::transforms::type_collector::TypeCollector']]], + ['htypefields_73',['htypefields',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#aba43b1b5a042a2d626f85ed44393f6f3',1,'parselib::transforms::type_collector::TypeCollector']]], + ['htypeinfo_74',['htypeinfo',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a1d3ff7c963463a11c54df0b6cfc49d59',1,'parselib.transforms.interface_generation.InterfaceGeneration.htypeinfo()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a57335e1c9a7e60968c2519af2224aa99',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.htypeinfo()']]], + ['htypeint_75',['htypeint',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aac53cf940a368e6072b4153ac4c0bef6',1,'parselib.transforms.literal_expansion.LiteralExpansion.htypeint()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ab89b656f4cf87cde49a7a8fa07d99154',1,'parselib.transforms.type_collector.TypeCollector.htypeint(self, args)']]], + ['htypetemplateparam_76',['htypetemplateparam',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a4cb4dd5ebb371ab5fdc634830bef1670',1,'parselib::transforms::type_collector::TypeCollector']]], + ['htypetemplateparams_77',['htypetemplateparams',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ac9f3092f5ff6d10b806e86a4d21999ad',1,'parselib::transforms::type_collector::TypeCollector']]], + ['hunop_78',['hunop',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a4b4652770f0b59fd58c0ee7a0a24a72f',1,'parselib.transforms.alias_translation.AliasTranslation.hunop()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aed949cd7808e3f90b315f21749bd4e91',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hunop(self, tree)']]], + ['hvalchange_79',['hvalchange',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa9203636287f950b0d33565441432e92',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hvarinitlist_80',['hvarinitlist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a945707207f38ce0f3a8dcbf6bc433b62',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['hvarref_81',['hvarref',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a7a0a929d491628697f9141d58df4957d',1,'parselib.transforms.function_info_pass.FunctionInfoPass.hvarref()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7e2a92eca53558bc1752b15ca647bfb2',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.hvarref()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#aa07087441f7e639e39f82aa22614a0f7',1,'parselib.transforms.interface_generation.InterfaceReplacement.hvarref()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a1cdba60c6bbada959e1f3acb1796f5e9',1,'parselib.transforms.literal_expansion.LiteralExpansion.hvarref()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#a0ed5cf8d93040a165e487c052ba899cd',1,'parselib.transforms.literal_expansion.LiteralExpansion2.hvarref()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#aa2b57ead2986a5f1dfe0698ab4844567',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.hvarref()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a15f683b1e690fd9eeb9c88458cade178',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.hvarref()'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#af5bc9233ecdd93a0f39f4bfab6b38970',1,'parselib.transforms.type_collector.TypeCollector.hvarref()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8c7b8a0c1705a25950f89ce84c9ad991',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.hvarref(self, tree)']]], + ['hwait_82',['hwait',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a6f04f7970b9d0997723806aaa77b5f8c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]] ]; diff --git a/search/functions_9.js b/search/functions_9.js index 5158be58..139a472f 100644 --- a/search/functions_9.js +++ b/search/functions_9.js @@ -9,67 +9,71 @@ var searchData= ['initialise_6',['initialise',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ac0312fbc7b36799105cc2f377a1a2c2b',1,'systemc_clang::GlobalSuspensionAutomata']]], ['initialize_7',['initialize',['../classsystemc__clang_1_1SuspensionAutomata.html#a0f908649045abfaec56609f7980ac530',1,'systemc_clang::SuspensionAutomata']]], ['initializegpumap_8',['initializeGpuMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aad741b181c5ecc30918fb7ba446c1cbc',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['insert_5fcurrent_5fmodule_5fvar_5ftype_9',['insert_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3479282fd489f2a1c4a441b3376d94b0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['insert_5fname_10',['insert_name',['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a19c7372efcfe70adc6bdf22348adec38',1,'parselib.transforms.name_stub.FuncParamNameStub.insert_name()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a5db43709ba6e569197cc101f4da9dc90',1,'parselib.transforms.name_stub.ProcessVarNameStub.insert_name()']]], - ['insert_5fport_11',['insert_port',['../classsc__ast__matchers_1_1PortMatcher.html#a4d4a0d38c8dcfd0cca88fdd4056fa92d',1,'sc_ast_matchers::PortMatcher']]], - ['insertall_12',['insertall',['../classhnode_1_1newname__map__t.html#adeb5df05ddbe2257a25a4d0b8888599e',1,'hnode::newname_map_t::insertall()'],['../classhnode_1_1hfunc__name__map__t.html#ada933c4dc906debde6786a4921634d21',1,'hnode::hfunc_name_map_t::insertall()']]], - ['insertelements_13',['insertElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a5ad544806e8e43304c5931dea5e0d56c',1,'systemc_clang::SplitCFGBlock']]], - ['instantiate_14',['instantiate',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ae8fd48a5ba16beded4b314b6f9e23fa0',1,'parselib::transforms::type_node::TypeNode']]], - ['interfacedecl_15',['InterfaceDecl',['../classsystemc__clang_1_1InterfaceDecl.html#a9332ca5080c3b334f930357b101079f6',1,'systemc_clang::InterfaceDecl::InterfaceDecl()'],['../classsystemc__clang_1_1InterfaceDecl.html#a8e0fb332423dd5aa0cd56e17e1418947',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1InterfaceDecl.html#a65a3b339c0a87a5656f463f95c4f57d7',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const InterfaceDecl &)']]], - ['invoke_5fsysc_16',['invoke_sysc',['../namespacesystemc-clang.html#a0f537a9b4ced662bd2ee93c80450675b',1,'systemc-clang']]], - ['invoke_5ftranslation_17',['invoke_translation',['../namespacesystemc-clang.html#adea6320ae04e827452424c126c09d9e8',1,'systemc-clang']]], - ['is_5fcustom_5ftype_18',['is_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ad70552aadbccb0ea098a2a24c22183ec',1,'parselib::transforms::type_collector::TypeCollector']]], - ['is_5ffound_19',['is_found',['../SuspensionAutomata_8cpp.html#aa6afbc1aa166921abdbfc5026b82e6bd',1,'SuspensionAutomata.cpp']]], - ['is_5fmodule_5ftype_20',['is_module_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a894a547647d045df2ae6d3cab7740a67',1,'parselib::transforms::type_collector::TypeCollector']]], - ['is_5fnumeric_21',['is_numeric',['../namespacesystemc__hdl.html#aa4f5fdd5dce280588fa1f4c2bd033077',1,'systemc_hdl']]], - ['is_5freferenced_22',['is_referenced',['../classhnode_1_1newname__map__t.html#a4a857c2bd4d4d0e67df4688b20bfe22f',1,'hnode::newname_map_t']]], - ['is_5fsigvar_23',['is_sigvar',['../namespacehnode.html#afc48114ade9b9a254d613931e9700412',1,'hnode']]], - ['is_5ftree_5ftype_24',['is_tree_type',['../namespaceparselib_1_1utils.html#ada22bd336ce6e981444404195dbf52ff',1,'parselib::utils']]], - ['is_5ftree_5ftypes_25',['is_tree_types',['../namespaceparselib_1_1utils.html#a26ef0ce9ae9344354c4b736104aac473',1,'parselib::utils']]], - ['isarrayref_26',['isArrayRef',['../classsystemc__hdl_1_1HDLBody.html#acb24ea9063364dd72273afc1dad17a00',1,'systemc_hdl::HDLBody']]], - ['isarraytype_27',['isArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a4371dc69e15c57afbf4488d7897b8c83',1,'sc_ast_matchers::ModuleInstanceType']]], - ['isassignop_28',['isAssignOp',['../classsystemc__hdl_1_1HDLBody.html#a87368c8c56e554352e112391d4fe2b3f',1,'systemc_hdl::HDLBody']]], - ['isbreak_29',['isBreak',['../classsystemc__hdl_1_1HDLThread.html#a972b680ca8d671386ccd59f925849c27',1,'systemc_hdl::HDLThread']]], - ['isconditional_30',['isConditional',['../classsystemc__clang_1_1SplitCFG.html#a19c6657911e753a0ae1c1f19ff1fd843',1,'systemc_clang::SplitCFG::isConditional()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a8e466c8d66d3d6827fd6dcb0f39af2fd',1,'systemc_clang::SplitCFGBlock::isConditional()']]], - ['iscontinueorbreak_31',['isContinueorBreak',['../classsystemc__hdl_1_1HDLThread.html#a461585150e7f52f04e046f7b8221864c',1,'systemc_hdl::HDLThread']]], - ['iscxxmembercallexprsystemccall_32',['isCXXMemberCallExprSystemCCall',['../namespacesc__ast__matchers_1_1utils.html#a5c3e714775fb729e6229051ae1bc7565',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CallExpr *ce, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a762d4ffc37e02e7ca22ef105d5d19b7e',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::Type *type, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a962ecbfc9eb1a52e6a65b4fafbc1bafe',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CXXMemberCallExpr *mce)']]], - ['isdelta_33',['isDelta',['../classsystemc__clang_1_1State.html#a0ab7a0ef45c4b14ee93c4164643d7316',1,'systemc_clang::State']]], - ['isdeltawait_34',['isDeltaWait',['../classsystemc__clang_1_1SuspensionAutomata.html#af7df2014268b399d1c12383b4aeffd49',1,'systemc_clang::SuspensionAutomata']]], - ['isdiscovered_35',['isDiscovered',['../classsystemc__clang_1_1TreeNode.html#a0155728cd4c230ece1da3f7c484eb0d9',1,'systemc_clang::TreeNode']]], - ['iselementpresent_36',['isElementPresent',['../classsystemc__clang_1_1Utility.html#a807a4944329acdc8c6b8b4bbf36a59a4',1,'systemc_clang::Utility']]], - ['iselementwait_37',['isElementWait',['../classsystemc__clang_1_1SplitCFG.html#a4051803801ddb7825d517134c947ae3a',1,'systemc_clang::SplitCFG']]], - ['isevent_38',['isEvent',['../classsystemc__clang_1_1State.html#a2ea429b1fcd285943367cfe8a3f65c1d',1,'systemc_clang::State']]], - ['iseventwait_39',['isEventWait',['../classsystemc__clang_1_1SuspensionAutomata.html#abd8c75ab0e59dd6d7a02b96ce46e2845',1,'systemc_clang::SuspensionAutomata']]], - ['isfalsepathvalid_40',['isFalsePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4f1e630675ec488f5b4319a6106dbcc9',1,'systemc_clang::SplitCFGPathInfo']]], - ['isfound_41',['isFound',['../classsystemc__clang_1_1SuspensionAutomata.html#a9d159ea7b6661f0b73a8eb0d6feaa243',1,'systemc_clang::SuspensionAutomata::isFound(vector< SusCFG * >, SusCFG *)'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6449700bf45d287c4f9d3089a88a5c12',1,'systemc_clang::SuspensionAutomata::isFound(vector< Transition * >, Transition *)']]], - ['isgpufit_42',['isGPUFit',['../classsystemc__clang_1_1GPUMacro.html#a55930ac68902786908bf08cdcc4ccd13',1,'systemc_clang::GPUMacro::isGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#a37f2f99651a57efa46931a57bf813c2a',1,'systemc_clang::SusCFG::isGPUFit()']]], - ['isinitial_43',['isInitial',['../classsystemc__clang_1_1State.html#a643dfd2a6ebe7f21bc5697433c6d11d0',1,'systemc_clang::State']]], - ['isinnamespace_44',['isInNamespace',['../namespacesc__ast__matchers_1_1utils.html#abfc3024aff017fe55298314f2d4876ca',1,'sc_ast_matchers::utils::isInNamespace(const clang::ValueDecl *fd, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#af06574adfc294d7923625ee80056766d',1,'sc_ast_matchers::utils::isInNamespace(const clang::Type *tp, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a29be9e6c19c22227c0932fa82eea99ee',1,'sc_ast_matchers::utils::isInNamespace(const Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#abc2e19f4549ca64e136a29e36d76f24f',1,'sc_ast_matchers::utils::isInNamespace(const CallExpr *cexpr, llvm::StringRef name)'],['../namespacesc__ast__matchers_1_1utils.html#ae893ed3abbf227192e11c19697d6c56a',1,'sc_ast_matchers::utils::isInNamespace(const clang::Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a6f3712406d0a4d393a478847371ebd4c',1,'sc_ast_matchers::utils::isInNamespace(const clang::CallExpr *cexpr, llvm::StringRef name)']]], - ['isinstancefielddecl_45',['isInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a818b944b81001e7f539752c129fcce00',1,'systemc_clang::ModuleInstance']]], - ['islogicalop_46',['isLogicalOp',['../classsystemc__hdl_1_1HDLBody.html#a213d15671a95dcc8cb46eb663dc6a159',1,'systemc_hdl::HDLBody']]], - ['isloop_47',['isLoop',['../classsystemc__clang_1_1SplitCFG.html#a7540b2cc0f97bf5d546aea9fcbfa6d8e',1,'systemc_clang::SplitCFG']]], - ['isloopwithtwosuccessors_48',['isLoopWithTwoSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a9a95af2adbcdd9d375ea90a4131e10e2',1,'systemc_clang::SplitCFG::isLoopWithTwoSuccessors()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a73c9af1090827946f0f93d28f5ce1df4',1,'systemc_clang::SplitCFGBlock::isLoopWithTwoSuccessors()']]], - ['ismoduleclassdeclnull_49',['isModuleClassDeclNull',['../classsystemc__clang_1_1ModuleInstance.html#a11105db597dade49f96e63917d8cc7ca',1,'systemc_clang::ModuleInstance']]], - ['isnotifycall_50',['isNotifyCall',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abfd7ca3cb24ae7dcaa50b204c5a61066',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['isparentblock_51',['isParentBlock',['../classsystemc__clang_1_1SusCFG.html#aa3949a664ee91427a318509c0bd718ec',1,'systemc_clang::SusCFG']]], - ['isparentwaitblock_52',['isParentWaitBlock',['../classsystemc__clang_1_1SusCFG.html#afad9f5ac04f02ecd8ea1415e9b3a2918',1,'systemc_clang::SusCFG']]], - ['ispointertype_53',['isPointerType',['../classsystemc__clang_1_1PortDecl.html#afc190117dc6f05449283492299a9f489',1,'systemc_clang::PortDecl']]], - ['isposint_54',['isposint',['../classhnode_1_1util.html#aec9118a21d646bbc50205199825839fd',1,'hnode::util']]], - ['isresetasync_55',['isResetAsync',['../classsystemc__clang_1_1EntryFunctionContainer.html#a3cd1f83eb9d3e05dadf5d228e284f90f',1,'systemc_clang::EntryFunctionContainer']]], - ['isscbuiltintype_56',['isSCBuiltinType',['../classhnode_1_1util.html#aafceba1797eb2565e6cbdd6979e89adc',1,'hnode::util']]], - ['isscfunc_57',['isSCFunc',['../classhnode_1_1util.html#a3b5201193bd1bc94d041c1aacb9646e6',1,'hnode::util']]], - ['isscmacro_58',['isSCMacro',['../classhnode_1_1util.html#a758eac997df82bd7cd138b0559f7fbc3',1,'hnode::util']]], - ['isscmainfound_59',['isSCMainFound',['../classsystemc__clang_1_1FindSCMain.html#a1861400b10d1338b0c4cfaebe2881cf6',1,'systemc_clang::FindSCMain']]], - ['issctype_60',['isSCType',['../classhnode_1_1util.html#ad27505aecf9a91dfe8e4f4a0a53b68a7',1,'hnode::util']]], - ['isternaryoperator_61',['isTernaryOperator',['../classsystemc__clang_1_1SplitCFG.html#ac66d7a5b2ad7968079797a965124bf91',1,'systemc_clang::SplitCFG']]], - ['istimed_62',['isTimed',['../classsystemc__clang_1_1State.html#adcde585e5b1df5f64df6f0a194c901f7',1,'systemc_clang::State']]], - ['istimedwait_63',['isTimedWait',['../classsystemc__clang_1_1SuspensionAutomata.html#a131b474cba796a5d5e13fb7574c236da',1,'systemc_clang::SuspensionAutomata']]], - ['istruepath_64',['isTruePath',['../classsystemc__clang_1_1SplitCFG.html#a63606435093652063e3d8da0bacb6f0f',1,'systemc_clang::SplitCFG']]], - ['istruepathvalid_65',['isTruePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#abe2a39f9c28ff9e675eaf6e4a45281a2',1,'systemc_clang::SplitCFGPathInfo']]], - ['istypename_66',['isTypename',['../classhnode_1_1util.html#a9bba15dca969ac22cc4e1779e4ea7620',1,'hnode::util']]], - ['isuserclass_67',['isUserClass',['../classsystemc__hdl_1_1HDLBody.html#a6652deb6c88c01f811bf571d3904e703',1,'systemc_hdl::HDLBody']]], - ['isvalidmethod_68',['isValidMethod',['../classsystemc__hdl_1_1HDLMain.html#ad26d40f0cbf7d74468a39b2788a9b382',1,'systemc_hdl::HDLMain']]], - ['iswaitblock_69',['isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a196e62967d4a2820873c7833b037d836',1,'systemc_clang::SusCFG']]], - ['iswaitcall_70',['isWaitCall',['../classsystemc__clang_1_1SuspensionAutomata.html#af045e731c974b5b321f0c808d2fa5773',1,'systemc_clang::SuspensionAutomata']]], - ['iswaitstmt_71',['IsWaitStmt',['../classsystemc__hdl_1_1HDLThread.html#ad28af83a8bd64cbd5e79bf1db4f417e2',1,'systemc_hdl::HDLThread']]] + ['inportdecl_9',['inportdecl',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a71e50af5d987702ab6996e56cb20817c',1,'parselib.transforms.interface_generation.InterfaceGeneration.inportdecl()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#ab597d8ecb80a6bf8251f4d8123340ef3',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.inportdecl()']]], + ['insert_5fcurrent_5fmodule_5fvar_5ftype_10',['insert_current_module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3479282fd489f2a1c4a441b3376d94b0',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['insert_5fname_11',['insert_name',['../classparselib_1_1transforms_1_1name__stub_1_1FuncParamNameStub.html#a19c7372efcfe70adc6bdf22348adec38',1,'parselib.transforms.name_stub.FuncParamNameStub.insert_name()'],['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a5db43709ba6e569197cc101f4da9dc90',1,'parselib.transforms.name_stub.ProcessVarNameStub.insert_name()']]], + ['insert_5fport_12',['insert_port',['../classsc__ast__matchers_1_1PortMatcher.html#a4d4a0d38c8dcfd0cca88fdd4056fa92d',1,'sc_ast_matchers::PortMatcher']]], + ['insertall_13',['insertall',['../classhnode_1_1newname__map__t.html#adeb5df05ddbe2257a25a4d0b8888599e',1,'hnode::newname_map_t::insertall()'],['../classhnode_1_1hfunc__name__map__t.html#ada933c4dc906debde6786a4921634d21',1,'hnode::hfunc_name_map_t::insertall()']]], + ['insertelements_14',['insertElements',['../classsystemc__clang_1_1SplitCFGBlock.html#a5ad544806e8e43304c5931dea5e0d56c',1,'systemc_clang::SplitCFGBlock']]], + ['instantiate_15',['instantiate',['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#ae8fd48a5ba16beded4b314b6f9e23fa0',1,'parselib::transforms::type_node::TypeNode']]], + ['interface_16',['interface',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae2ee158cbae6563f6cfed15689a5dff8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['interfacedecl_17',['InterfaceDecl',['../classsystemc__clang_1_1InterfaceDecl.html#a9332ca5080c3b334f930357b101079f6',1,'systemc_clang::InterfaceDecl::InterfaceDecl()'],['../classsystemc__clang_1_1InterfaceDecl.html#a8e0fb332423dd5aa0cd56e17e1418947',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1InterfaceDecl.html#a65a3b339c0a87a5656f463f95c4f57d7',1,'systemc_clang::InterfaceDecl::InterfaceDecl(const InterfaceDecl &)']]], + ['interfaces_18',['interfaces',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a61d9ec55855fe6ae4d4c4d43f903a72f',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['invoke_5fsysc_19',['invoke_sysc',['../namespacesystemc-clang.html#a0f537a9b4ced662bd2ee93c80450675b',1,'systemc-clang']]], + ['invoke_5ftranslation_20',['invoke_translation',['../namespacesystemc-clang.html#adea6320ae04e827452424c126c09d9e8',1,'systemc-clang']]], + ['is_5fcustom_5ftype_21',['is_custom_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#ad70552aadbccb0ea098a2a24c22183ec',1,'parselib::transforms::type_collector::TypeCollector']]], + ['is_5ffound_22',['is_found',['../SuspensionAutomata_8cpp.html#aa6afbc1aa166921abdbfc5026b82e6bd',1,'SuspensionAutomata.cpp']]], + ['is_5fmodule_5ftype_23',['is_module_type',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a894a547647d045df2ae6d3cab7740a67',1,'parselib::transforms::type_collector::TypeCollector']]], + ['is_5fnumeric_24',['is_numeric',['../namespacesystemc__hdl.html#aa4f5fdd5dce280588fa1f4c2bd033077',1,'systemc_hdl']]], + ['is_5freferenced_25',['is_referenced',['../classhnode_1_1newname__map__t.html#a4a857c2bd4d4d0e67df4688b20bfe22f',1,'hnode::newname_map_t']]], + ['is_5fsigvar_26',['is_sigvar',['../namespacehnode.html#afc48114ade9b9a254d613931e9700412',1,'hnode']]], + ['is_5ftree_5ftype_27',['is_tree_type',['../namespaceparselib_1_1utils.html#ada22bd336ce6e981444404195dbf52ff',1,'parselib::utils']]], + ['is_5ftree_5ftypes_28',['is_tree_types',['../namespaceparselib_1_1utils.html#a26ef0ce9ae9344354c4b736104aac473',1,'parselib::utils']]], + ['isarrayref_29',['isArrayRef',['../classsystemc__hdl_1_1HDLBody.html#acb24ea9063364dd72273afc1dad17a00',1,'systemc_hdl::HDLBody']]], + ['isarraytype_30',['isArrayType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a4371dc69e15c57afbf4488d7897b8c83',1,'sc_ast_matchers::ModuleInstanceType']]], + ['isassignop_31',['isAssignOp',['../classsystemc__hdl_1_1HDLBody.html#a87368c8c56e554352e112391d4fe2b3f',1,'systemc_hdl::HDLBody']]], + ['isbreak_32',['isBreak',['../classsystemc__hdl_1_1HDLThread.html#a972b680ca8d671386ccd59f925849c27',1,'systemc_hdl::HDLThread']]], + ['isconditional_33',['isConditional',['../classsystemc__clang_1_1SplitCFG.html#a19c6657911e753a0ae1c1f19ff1fd843',1,'systemc_clang::SplitCFG::isConditional()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a8e466c8d66d3d6827fd6dcb0f39af2fd',1,'systemc_clang::SplitCFGBlock::isConditional()']]], + ['iscontinueorbreak_34',['isContinueorBreak',['../classsystemc__hdl_1_1HDLThread.html#a461585150e7f52f04e046f7b8221864c',1,'systemc_hdl::HDLThread']]], + ['iscxxmembercallexprsystemccall_35',['isCXXMemberCallExprSystemCCall',['../namespacesc__ast__matchers_1_1utils.html#a5c3e714775fb729e6229051ae1bc7565',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CallExpr *ce, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a762d4ffc37e02e7ca22ef105d5d19b7e',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::Type *type, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a962ecbfc9eb1a52e6a65b4fafbc1bafe',1,'sc_ast_matchers::utils::isCXXMemberCallExprSystemCCall(const clang::CXXMemberCallExpr *mce)']]], + ['isdelta_36',['isDelta',['../classsystemc__clang_1_1State.html#a0ab7a0ef45c4b14ee93c4164643d7316',1,'systemc_clang::State']]], + ['isdeltawait_37',['isDeltaWait',['../classsystemc__clang_1_1SuspensionAutomata.html#af7df2014268b399d1c12383b4aeffd49',1,'systemc_clang::SuspensionAutomata']]], + ['isdiscovered_38',['isDiscovered',['../classsystemc__clang_1_1TreeNode.html#a0155728cd4c230ece1da3f7c484eb0d9',1,'systemc_clang::TreeNode']]], + ['iselementpresent_39',['isElementPresent',['../classsystemc__clang_1_1Utility.html#a807a4944329acdc8c6b8b4bbf36a59a4',1,'systemc_clang::Utility']]], + ['iselementwait_40',['isElementWait',['../classsystemc__clang_1_1SplitCFG.html#a4051803801ddb7825d517134c947ae3a',1,'systemc_clang::SplitCFG']]], + ['isevent_41',['isEvent',['../classsystemc__clang_1_1State.html#a2ea429b1fcd285943367cfe8a3f65c1d',1,'systemc_clang::State']]], + ['iseventwait_42',['isEventWait',['../classsystemc__clang_1_1SuspensionAutomata.html#abd8c75ab0e59dd6d7a02b96ce46e2845',1,'systemc_clang::SuspensionAutomata']]], + ['isfalsepathvalid_43',['isFalsePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4f1e630675ec488f5b4319a6106dbcc9',1,'systemc_clang::SplitCFGPathInfo']]], + ['isfound_44',['isFound',['../classsystemc__clang_1_1SuspensionAutomata.html#a9d159ea7b6661f0b73a8eb0d6feaa243',1,'systemc_clang::SuspensionAutomata::isFound(vector< SusCFG * >, SusCFG *)'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6449700bf45d287c4f9d3089a88a5c12',1,'systemc_clang::SuspensionAutomata::isFound(vector< Transition * >, Transition *)']]], + ['isgpufit_45',['isGPUFit',['../classsystemc__clang_1_1GPUMacro.html#a55930ac68902786908bf08cdcc4ccd13',1,'systemc_clang::GPUMacro::isGPUFit()'],['../classsystemc__clang_1_1SusCFG.html#a37f2f99651a57efa46931a57bf813c2a',1,'systemc_clang::SusCFG::isGPUFit()']]], + ['isinitial_46',['isInitial',['../classsystemc__clang_1_1State.html#a643dfd2a6ebe7f21bc5697433c6d11d0',1,'systemc_clang::State']]], + ['isinnamespace_47',['isInNamespace',['../namespacesc__ast__matchers_1_1utils.html#abfc3024aff017fe55298314f2d4876ca',1,'sc_ast_matchers::utils::isInNamespace(const clang::ValueDecl *fd, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#af06574adfc294d7923625ee80056766d',1,'sc_ast_matchers::utils::isInNamespace(const clang::Type *tp, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a29be9e6c19c22227c0932fa82eea99ee',1,'sc_ast_matchers::utils::isInNamespace(const Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#abc2e19f4549ca64e136a29e36d76f24f',1,'sc_ast_matchers::utils::isInNamespace(const CallExpr *cexpr, llvm::StringRef name)'],['../namespacesc__ast__matchers_1_1utils.html#ae893ed3abbf227192e11c19697d6c56a',1,'sc_ast_matchers::utils::isInNamespace(const clang::Expr *expr, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a6f3712406d0a4d393a478847371ebd4c',1,'sc_ast_matchers::utils::isInNamespace(const clang::CallExpr *cexpr, llvm::StringRef name)']]], + ['isinstancefielddecl_48',['isInstanceFieldDecl',['../classsystemc__clang_1_1ModuleInstance.html#a818b944b81001e7f539752c129fcce00',1,'systemc_clang::ModuleInstance']]], + ['islogicalop_49',['isLogicalOp',['../classsystemc__hdl_1_1HDLBody.html#a213d15671a95dcc8cb46eb663dc6a159',1,'systemc_hdl::HDLBody']]], + ['isloop_50',['isLoop',['../classsystemc__clang_1_1SplitCFG.html#a7540b2cc0f97bf5d546aea9fcbfa6d8e',1,'systemc_clang::SplitCFG']]], + ['isloopwithtwosuccessors_51',['isLoopWithTwoSuccessors',['../classsystemc__clang_1_1SplitCFG.html#a9a95af2adbcdd9d375ea90a4131e10e2',1,'systemc_clang::SplitCFG::isLoopWithTwoSuccessors()'],['../classsystemc__clang_1_1SplitCFGBlock.html#a73c9af1090827946f0f93d28f5ce1df4',1,'systemc_clang::SplitCFGBlock::isLoopWithTwoSuccessors()']]], + ['ismoduleclassdeclnull_52',['isModuleClassDeclNull',['../classsystemc__clang_1_1ModuleInstance.html#a11105db597dade49f96e63917d8cc7ca',1,'systemc_clang::ModuleInstance']]], + ['isnotifycall_53',['isNotifyCall',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abfd7ca3cb24ae7dcaa50b204c5a61066',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['isparentblock_54',['isParentBlock',['../classsystemc__clang_1_1SusCFG.html#aa3949a664ee91427a318509c0bd718ec',1,'systemc_clang::SusCFG']]], + ['isparentwaitblock_55',['isParentWaitBlock',['../classsystemc__clang_1_1SusCFG.html#afad9f5ac04f02ecd8ea1415e9b3a2918',1,'systemc_clang::SusCFG']]], + ['ispointertype_56',['isPointerType',['../classsystemc__clang_1_1PortDecl.html#afc190117dc6f05449283492299a9f489',1,'systemc_clang::PortDecl']]], + ['isposint_57',['isposint',['../classhnode_1_1util.html#aec9118a21d646bbc50205199825839fd',1,'hnode::util']]], + ['isresetasync_58',['isResetAsync',['../classsystemc__clang_1_1EntryFunctionContainer.html#a3cd1f83eb9d3e05dadf5d228e284f90f',1,'systemc_clang::EntryFunctionContainer']]], + ['isscbuiltintype_59',['isSCBuiltinType',['../classhnode_1_1util.html#aafceba1797eb2565e6cbdd6979e89adc',1,'hnode::util']]], + ['isscfunc_60',['isSCFunc',['../classhnode_1_1util.html#a3b5201193bd1bc94d041c1aacb9646e6',1,'hnode::util']]], + ['isscmacro_61',['isSCMacro',['../classhnode_1_1util.html#a758eac997df82bd7cd138b0559f7fbc3',1,'hnode::util']]], + ['isscmainfound_62',['isSCMainFound',['../classsystemc__clang_1_1FindSCMain.html#a1861400b10d1338b0c4cfaebe2881cf6',1,'systemc_clang::FindSCMain']]], + ['issctype_63',['isSCType',['../classhnode_1_1util.html#ad27505aecf9a91dfe8e4f4a0a53b68a7',1,'hnode::util']]], + ['issimrelated_64',['isSimRelated',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a89a3389115c1ea5c73f5a49a51208ff4',1,'systemc_hdl::HDLConstructorHcode']]], + ['isternaryoperator_65',['isTernaryOperator',['../classsystemc__clang_1_1SplitCFG.html#ac66d7a5b2ad7968079797a965124bf91',1,'systemc_clang::SplitCFG']]], + ['istimed_66',['isTimed',['../classsystemc__clang_1_1State.html#adcde585e5b1df5f64df6f0a194c901f7',1,'systemc_clang::State']]], + ['istimedwait_67',['isTimedWait',['../classsystemc__clang_1_1SuspensionAutomata.html#a131b474cba796a5d5e13fb7574c236da',1,'systemc_clang::SuspensionAutomata']]], + ['istruepath_68',['isTruePath',['../classsystemc__clang_1_1SplitCFG.html#a63606435093652063e3d8da0bacb6f0f',1,'systemc_clang::SplitCFG']]], + ['istruepathvalid_69',['isTruePathValid',['../classsystemc__clang_1_1SplitCFGPathInfo.html#abe2a39f9c28ff9e675eaf6e4a45281a2',1,'systemc_clang::SplitCFGPathInfo']]], + ['istypename_70',['isTypename',['../classhnode_1_1util.html#a9bba15dca969ac22cc4e1779e4ea7620',1,'hnode::util']]], + ['isuserclass_71',['isUserClass',['../classsystemc__hdl_1_1HDLBody.html#a6652deb6c88c01f811bf571d3904e703',1,'systemc_hdl::HDLBody']]], + ['isvalidmethod_72',['isValidMethod',['../classsystemc__hdl_1_1HDLMain.html#ad26d40f0cbf7d74468a39b2788a9b382',1,'systemc_hdl::HDLMain']]], + ['iswaitblock_73',['isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a196e62967d4a2820873c7833b037d836',1,'systemc_clang::SusCFG']]], + ['iswaitcall_74',['isWaitCall',['../classsystemc__clang_1_1SuspensionAutomata.html#af045e731c974b5b321f0c808d2fa5773',1,'systemc_clang::SuspensionAutomata']]], + ['iswaitstmt_75',['IsWaitStmt',['../classsystemc__hdl_1_1HDLThread.html#ad28af83a8bd64cbd5e79bf1db4f417e2',1,'systemc_hdl::HDLThread']]] ]; diff --git a/search/functions_b.js b/search/functions_b.js index 13bbbbf7..9bad3e15 100644 --- a/search/functions_b.js +++ b/search/functions_b.js @@ -11,21 +11,23 @@ var searchData= ['makeresetmap_8',['MakeResetMap',['../classsystemc__hdl_1_1HDLMain.html#a38196ba7ed1fdece2021534e1940246c',1,'systemc_hdl::HDLMain']]], ['makesignalarraytype_9',['makeSignalArrayType',['../classsc__ast__matchers_1_1PortMatcher.html#aa23d0e0720b102dd9f5b99cc2af9da4f',1,'sc_ast_matchers::PortMatcher']]], ['makesignalmatcher_10',['makeSignalMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#ac45ad8918c6b3ace857842a6e41eb7d6',1,'sc_ast_matchers::PortMatcher']]], - ['markstatements_11',['MarkStatements',['../classsystemc__hdl_1_1HDLThread.html#abb5b1bbcf8b9684deca4090788239af9',1,'systemc_hdl::HDLThread']]], - ['match_5fctor_5farg_12',['match_ctor_arg',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae3317d935480e9288da5234459d0987b',1,'sc_ast_matchers::InstanceMatcher']]], - ['match_5fis_5fderived_5fsc_5fmodule_13',['match_is_derived_sc_module',['../classsc__ast__matchers_1_1InstanceMatcher.html#aea3cff2c0cda2af1452ead21e00f2fef',1,'sc_ast_matchers::InstanceMatcher']]], - ['matchinstancesinbaseclasses_14',['matchInstancesInBaseClasses',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a81c1cef440ac0466db48be48bc26dc85',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['matchnames_15',['matchNames',['../namespacesc__ast__matchers_1_1utils.html#a784b9a6f9086c9751c8a41e0763ae19e',1,'sc_ast_matchers::utils::matchNames(StringRef str, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a38f9d2a436ff4247639b118e2aa2f4e7',1,'sc_ast_matchers::utils::matchNames(llvm::StringRef str, const std::vector< llvm::StringRef > &names)']]], - ['max_16',['max',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab21b606214fb62130eb276f10a98740b',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['maxtime_17',['maxTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a28a9fa1f175321b3c0d1701109d7734e',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['merge_5ffield_5fdefault_5fthis_18',['merge_field_default_this',['../classparselib_1_1primitives_1_1TypeContext.html#af3ca505bf7ca1731fb9e33d2bbbb6f24',1,'parselib::primitives::TypeContext']]], - ['merge_5fsort_19',['merge_sort',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a541f7970f925e473ded30afc41d0ad0a',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['moddecl_20',['moddecl',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a5146732d902a05ba12318ea78772bcda',1,'parselib::transforms::structure_collector::StructureCollector']]], - ['model_21',['Model',['../classsystemc__clang_1_1Model.html#ae3b375de5f6df4faf74a95d64748e048',1,'systemc_clang::Model::Model()'],['../classsystemc__clang_1_1Model.html#afe06c7b7be7051909c4c1d86707fc7df',1,'systemc_clang::Model::Model(const Model &)']]], - ['modifdfs_22',['modifDFS',['../classsystemc__clang_1_1SuspensionAutomata.html#a63d5c4afef3eb581891938f4348765ed',1,'systemc_clang::SuspensionAutomata']]], - ['modportsiglist_23',['modportsiglist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a8cbcec39de17e539f13cda6d131e3372',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.modportsiglist()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aab823a7576d27b97dd423c9b05697829',1,'parselib.transforms.port_expansion.PortExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a0ab98804ca61b2ade1c0d220ce023b0a',1,'parselib.transforms.sort_var_decl.SortVarDecl.modportsiglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab801168295e0b0ff0515967c86cad06a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abc1a32ee87a5be214fd8a6d9df03b156',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modportsiglist(self, tree)']]], - ['moduleinst_24',['moduleinst',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4e400f255443a0f03b30431d115e3679',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['moduleinstance_25',['ModuleInstance',['../classsystemc__clang_1_1ModuleInstance.html#ad20799642139128415f4cd86083199bf',1,'systemc_clang::ModuleInstance::ModuleInstance()'],['../classsystemc__clang_1_1ModuleInstance.html#a068adbfdf8a895bae6dbd7b5c22974bd',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::string &, const clang::CXXRecordDecl *)'],['../classsystemc__clang_1_1ModuleInstance.html#a0d1ab890a473eb752e0787a0478f6eb6',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::tuple< const std::string &, clang::CXXRecordDecl * > &)'],['../classsystemc__clang_1_1ModuleInstance.html#a7b553895e8400696d3a385da7852ec59',1,'systemc_clang::ModuleInstance::ModuleInstance(const ModuleInstance &from)']]], - ['moduleinstancetype_26',['ModuleInstanceType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad62e9f4402009961f4ba3383bcfb88df',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac7d33859a1ca9e45797c1077c9246b4e',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType(const ModuleInstanceType &rhs)']]], - ['modulelist_27',['modulelist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adca5d474d2800746df0b448a530ff4c7',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]] + ['map_5fhvarref_5fids_11',['map_hvarref_ids',['../namespaceparselib_1_1utils.html#a4613f349a7776ad5107910919f5e791a',1,'parselib::utils']]], + ['markstatements_12',['MarkStatements',['../classsystemc__hdl_1_1HDLThread.html#abb5b1bbcf8b9684deca4090788239af9',1,'systemc_hdl::HDLThread']]], + ['match_5fctor_5farg_13',['match_ctor_arg',['../classsc__ast__matchers_1_1InstanceMatcher.html#ae3317d935480e9288da5234459d0987b',1,'sc_ast_matchers::InstanceMatcher']]], + ['match_5fis_5fderived_5fsc_5fmodule_14',['match_is_derived_sc_module',['../classsc__ast__matchers_1_1InstanceMatcher.html#aea3cff2c0cda2af1452ead21e00f2fef',1,'sc_ast_matchers::InstanceMatcher']]], + ['matchinstancesinbaseclasses_15',['matchInstancesInBaseClasses',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a81c1cef440ac0466db48be48bc26dc85',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['matchnames_16',['matchNames',['../namespacesc__ast__matchers_1_1utils.html#a784b9a6f9086c9751c8a41e0763ae19e',1,'sc_ast_matchers::utils::matchNames(StringRef str, const std::vector< llvm::StringRef > &names)'],['../namespacesc__ast__matchers_1_1utils.html#a38f9d2a436ff4247639b118e2aa2f4e7',1,'sc_ast_matchers::utils::matchNames(llvm::StringRef str, const std::vector< llvm::StringRef > &names)']]], + ['max_17',['max',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab21b606214fb62130eb276f10a98740b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['maxtime_18',['maxTime',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a28a9fa1f175321b3c0d1701109d7734e',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['merge_5ffield_5fdefault_5fthis_19',['merge_field_default_this',['../classparselib_1_1primitives_1_1TypeContext.html#af3ca505bf7ca1731fb9e33d2bbbb6f24',1,'parselib::primitives::TypeContext']]], + ['merge_5fsort_20',['merge_sort',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a541f7970f925e473ded30afc41d0ad0a',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['moddecl_21',['moddecl',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a5146732d902a05ba12318ea78772bcda',1,'parselib::transforms::structure_collector::StructureCollector']]], + ['model_22',['Model',['../classsystemc__clang_1_1Model.html#ae3b375de5f6df4faf74a95d64748e048',1,'systemc_clang::Model::Model()'],['../classsystemc__clang_1_1Model.html#afe06c7b7be7051909c4c1d86707fc7df',1,'systemc_clang::Model::Model(const Model &)']]], + ['modifdfs_23',['modifDFS',['../classsystemc__clang_1_1SuspensionAutomata.html#a63d5c4afef3eb581891938f4348765ed',1,'systemc_clang::SuspensionAutomata']]], + ['modportsiglist_24',['modportsiglist',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a8cbcec39de17e539f13cda6d131e3372',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.modportsiglist()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#a1233e23824c5a4bdbbc2cb406a18aac2',1,'parselib.transforms.node_movement.ArrayPortMovement.modportsiglist()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#aab823a7576d27b97dd423c9b05697829',1,'parselib.transforms.port_expansion.PortExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1sort__var__decl_1_1SortVarDecl.html#a0ab98804ca61b2ade1c0d220ce023b0a',1,'parselib.transforms.sort_var_decl.SortVarDecl.modportsiglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ab801168295e0b0ff0515967c86cad06a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.modportsiglist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#abc1a32ee87a5be214fd8a6d9df03b156',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modportsiglist()']]], + ['modulearrayinst_25',['modulearrayinst',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a01a1d62a3c961ece53e21faf45116901',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.modulearrayinst()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#afdb0fc319bc315f58fb68407271b0ea0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modulearrayinst()']]], + ['moduleinst_26',['moduleinst',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a2715b45b614828bccedb7258534d821c',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.moduleinst()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4e400f255443a0f03b30431d115e3679',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.moduleinst()']]], + ['moduleinstance_27',['ModuleInstance',['../classsystemc__clang_1_1ModuleInstance.html#ad20799642139128415f4cd86083199bf',1,'systemc_clang::ModuleInstance::ModuleInstance()'],['../classsystemc__clang_1_1ModuleInstance.html#a068adbfdf8a895bae6dbd7b5c22974bd',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::string &, const clang::CXXRecordDecl *)'],['../classsystemc__clang_1_1ModuleInstance.html#a0d1ab890a473eb752e0787a0478f6eb6',1,'systemc_clang::ModuleInstance::ModuleInstance(const std::tuple< const std::string &, clang::CXXRecordDecl * > &)'],['../classsystemc__clang_1_1ModuleInstance.html#a7b553895e8400696d3a385da7852ec59',1,'systemc_clang::ModuleInstance::ModuleInstance(const ModuleInstance &from)']]], + ['moduleinstancetype_28',['ModuleInstanceType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad62e9f4402009961f4ba3383bcfb88df',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac7d33859a1ca9e45797c1077c9246b4e',1,'sc_ast_matchers::ModuleInstanceType::ModuleInstanceType(const ModuleInstanceType &rhs)']]], + ['modulelist_29',['modulelist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a29386e88e2a81c709e25f76deeabc762',1,'parselib.transforms.interface_generation.InterfaceGeneration.modulelist()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adca5d474d2800746df0b448a530ff4c7',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.modulelist()']]] ]; diff --git a/search/functions_c.js b/search/functions_c.js index d16bd4f4..0a3a1c75 100644 --- a/search/functions_c.js +++ b/search/functions_c.js @@ -14,6 +14,6 @@ var searchData= ['notifycalls_11',['NotifyCalls',['../classsystemc__clang_1_1NotifyCalls.html#a6e4eadb356a0ac1576d4fad6cd81f897',1,'systemc_clang::NotifyCalls::NotifyCalls()'],['../classsystemc__clang_1_1NotifyCalls.html#abdd592a779bb51e69447eac952b16b3f',1,'systemc_clang::NotifyCalls::NotifyCalls(const std::string &, FindNotify::NotifyCallListType)'],['../classsystemc__clang_1_1NotifyCalls.html#a8a0936f6271d3747014a9cc0afb5ef02',1,'systemc_clang::NotifyCalls::NotifyCalls(const NotifyCalls &)']]], ['notifycontainer_12',['NotifyContainer',['../classsystemc__clang_1_1NotifyContainer.html#a0aab8dcca4c343e8b12279ee91db72df',1,'systemc_clang::NotifyContainer::NotifyContainer()'],['../classsystemc__clang_1_1NotifyContainer.html#a4ca8503404ce719cd5a1980a29d5965d',1,'systemc_clang::NotifyContainer::NotifyContainer(CXXMethodDecl *, CallExpr *)'],['../classsystemc__clang_1_1NotifyContainer.html#a6dc9efa088af938281a811d18b3c844a',1,'systemc_clang::NotifyContainer::NotifyContainer(const NotifyContainer &)']]], ['npa_13',['npa',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ab57aa4d4e9cba6398ed2401e971c2444',1,'parselib.transforms.literal_expansion.LiteralExpansion.npa()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ac8abdb23b5ea83e1d9e96786dad577a5',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.npa()']]], - ['numlit_14',['numlit',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a588e1051d3e072a34f285e3b4a368b52',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['numlit_14',['numlit',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a588e1051d3e072a34f285e3b4a368b52',1,'parselib.transforms.literal_expansion.LiteralExpansion.numlit()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a6d9016777e757920bb981e1b4344c477',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.numlit()']]], ['numlitwidth_15',['numlitwidth',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ae6a6f7f7cdf26d5a7c7d50b61426d579',1,'parselib.transforms.literal_expansion.LiteralExpansion.numlitwidth()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a65e45defe52c8d328d2267a68d9a4dfa',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.numlitwidth()']]] ]; diff --git a/search/functions_d.js b/search/functions_d.js index 39659886..4a9b5d23 100644 --- a/search/functions_d.js +++ b/search/functions_d.js @@ -4,5 +4,6 @@ var searchData= ['operator_2a_1',['operator*',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#aeb0baa5c9c645d08e0cef32ad1559a2d',1,'systemc_clang::Tree::const_dft_iterator::operator*()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#acc98ee72380560adb8052992652112a6',1,'systemc_clang::Tree::dft_iterator::operator*()']]], ['operator_2b_2b_2',['operator++',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a18735b987124c8f757f78dc6bc4d4207',1,'systemc_clang::Tree::const_dft_iterator::operator++()'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#a625feca921860d695019a8e1bb83e576',1,'systemc_clang::Tree::dft_iterator::operator++()']]], ['operator_3d_3',['operator=',['../structsystemc__clang_1_1SupplementaryInfo.html#a5710b253377da1f2dab2c0b1f58dc2c4',1,'systemc_clang::SupplementaryInfo::operator=()'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#ad25ba3f1cb1e5c6c352e86c5248b7bc7',1,'systemc_clang::SplitCFGPathInfo::operator=()'],['../classsystemc__clang_1_1SplitCFG.html#ae24068836ab718338b36ea79d352fb18',1,'systemc_clang::SplitCFG::operator=()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a7b6986bd6eee15c0739be945023ef51b',1,'sc_ast_matchers::InstanceMatcher::operator=()'],['../classsystemc__clang_1_1ModuleInstance.html#a2458362880d4d58a23ec115ed736e73c',1,'systemc_clang::ModuleInstance::operator=()'],['../structsc__ast__matchers_1_1ModuleInstanceType.html#af8b632743ebc40f0ab3f34d6cab5eb70',1,'sc_ast_matchers::ModuleInstanceType::operator=(const ModuleInstanceType &rhs)']]], - ['operator_3d_3d_4',['operator==',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afaf59d3bf2ff23c65984cd66bdc5f072',1,'sc_ast_matchers::ModuleInstanceType']]] + ['operator_3d_3d_4',['operator==',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afaf59d3bf2ff23c65984cd66bdc5f072',1,'sc_ast_matchers::ModuleInstanceType']]], + ['outportdecl_5',['outportdecl',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a41c8ae0f71de9a1b827a400e771feb2f',1,'parselib.transforms.interface_generation.InterfaceGeneration.outportdecl()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a5879179c0c0d6b4df1d190e694cee622',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.outportdecl()']]] ]; diff --git a/search/functions_e.js b/search/functions_e.js index 832d0888..bd6909e7 100644 --- a/search/functions_e.js +++ b/search/functions_e.js @@ -11,33 +11,34 @@ var searchData= ['poprange_8',['PopRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#abbad8033124d9af4a8fee96f31a3195f',1,'systemc_hdl::HDLConstructorHcode']]], ['populateargmap_9',['populateArgMap',['../classsystemc__clang_1_1NotifyContainer.html#a57f0ca66d08e1507e0dd51e1dad1eaa8',1,'systemc_clang::NotifyContainer::populateArgMap()'],['../classsystemc__clang_1_1WaitContainer.html#ab7253a21f5687c3e20c269377698dee8',1,'systemc_clang::WaitContainer::populateArgMap()']]], ['populatenestedmodules_10',['populateNestedModules',['../classsystemc__clang_1_1Model.html#a1d873012ddb4bcb559dfbc08551d08ca',1,'systemc_clang::Model']]], - ['portbinding_11',['portbinding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a79c342a1ba7993b2d45ec5eb2682fe85',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['portbinding_12',['PortBinding',['../classsystemc__clang_1_1PortBinding.html#a408edf12d41406dc7d7957b3f975f2b3',1,'systemc_clang::PortBinding']]], - ['portbindinglist_13',['portbindinglist',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a4804c93d492effa8747e15a7f37131a3',1,'parselib.transforms.port_expansion.PortExpansion.portbindinglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3c672f24c2f7815e6585a3e2a9149ab',1,'parselib.transforms.typedef_expansion.TypedefExpansion.portbindinglist()']]], + ['portbinding_11',['PortBinding',['../classsystemc__clang_1_1PortBinding.html#a408edf12d41406dc7d7957b3f975f2b3',1,'systemc_clang::PortBinding']]], + ['portbinding_12',['portbinding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a79c342a1ba7993b2d45ec5eb2682fe85',1,'parselib.transforms.literal_expansion.LiteralExpansion.portbinding()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ae54b09d8e11577935ddf5b97a216e4c4',1,'parselib.transforms.port_expansion.PortExpansion.portbinding()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a133949c9cf9b2329613707e6208fbe65',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.portbinding()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa11f5f44c907346ef4d53ad8b77c5373',1,'parselib.transforms.typedef_expansion.TypedefExpansion.portbinding()']]], + ['portbindinglist_13',['portbindinglist',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a1a45cdf5a701fa4ec7df7bc550d9f4cc',1,'parselib.transforms.interface_generation.InterfaceReplacement.portbindinglist()'],['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion2.html#aeaa988fdb51e90850e90d359497fac63',1,'parselib.transforms.literal_expansion.LiteralExpansion2.portbindinglist()'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#a4804c93d492effa8747e15a7f37131a3',1,'parselib.transforms.port_expansion.PortExpansion.portbindinglist()'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingPrecheck.html#a024df33040283a8d7614c302635f40bd',1,'parselib.transforms.portbinding_recollect.PortbindingPrecheck.portbindinglist()'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#aa3c672f24c2f7815e6585a3e2a9149ab',1,'parselib.transforms.typedef_expansion.TypedefExpansion.portbindinglist()']]], ['portdecl_14',['PortDecl',['../classsystemc__clang_1_1PortDecl.html#af53768c4cd2985ef74dfc9074d6a73f9',1,'systemc_clang::PortDecl::PortDecl()'],['../classsystemc__clang_1_1PortDecl.html#af5949460ace7adc49920708e8744e91f',1,'systemc_clang::PortDecl::PortDecl(const std::string &, FindTemplateTypes *)'],['../classsystemc__clang_1_1PortDecl.html#ac8186645d36c62a160581e312f61c0f8',1,'systemc_clang::PortDecl::PortDecl(const std::string &, const clang::Decl *, FindTemplateTypes *)'],['../classsystemc__clang_1_1PortDecl.html#a70a494619e7316ba9a33cfa6318b5749',1,'systemc_clang::PortDecl::PortDecl(const PortDecl &)']]], - ['portdecltype_15',['portdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a40d0b70e7e7b4c15171d00e1b35449ac',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.portdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a1997010fd1d78208b2558695833486ce',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.portdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3153dc11c1c6dabe273524ba1ee9211c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.portdecltype()']]], - ['portmatcher_16',['PortMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#a1bd74e34abdc8377be310d1f1580fc05',1,'sc_ast_matchers::PortMatcher']]], - ['portnamematcher_17',['portNameMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#aa216994927873cee14ae2d889fb6f382',1,'sc_ast_matchers::PortMatcher']]], - ['postfire_18',['postFire',['../classSAPlugin.html#a0b06d21eea788c4434ce912662d4c1e6',1,'SAPlugin::postFire()'],['../classTimeAnalysis.html#adc50a7dbdbd75742d6da224d6e9c3596',1,'TimeAnalysis::postFire()'],['../classsystemc__clang_1_1SystemCConsumer.html#a5abaaf14db3be571b79714eac76737bc',1,'systemc_clang::SystemCConsumer::postFire()'],['../classsystemc__hdl_1_1HDLMain.html#a9ffb914cdea1fd4e797001a34ba8e2e4',1,'systemc_hdl::HDLMain::postFire()']]], - ['prefire_19',['preFire',['../classsystemc__clang_1_1SystemCConsumer.html#a7ecea0031ec9e348f9054123bb84a0e8',1,'systemc_clang::SystemCConsumer']]], - ['preparepathinfo_20',['preparePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a6d5e3585f024298e8618e24e40474721',1,'systemc_clang::SplitCFG']]], - ['prevardecl_21',['prevardecl',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aa297a6ffa32d5b966570d44f35094507',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.prevardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa768a5b100c6fb9ed672154863e368a0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.prevardecl()']]], - ['print_22',['print',['../classHDLType.html#a4d98fa7cfaf54532eaa2d4a588e3d658',1,'HDLType::print(llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)'],['../classHDLType.html#a2e5d07939e3e11ff552f4b7679fefcae',1,'HDLType::print()'],['../classhnode_1_1hNode.html#af2caf10bac111af51d09b98b302ec40c',1,'hnode::hNode::print()'],['../classhnode_1_1newname__map__t.html#adbf04ee5674001add48ca37fe0ab6d44',1,'hnode::newname_map_t::print()'],['../classhnode_1_1hfunc__name__map__t.html#abe81f79be275e09d357b0c7a0618b642',1,'hnode::hfunc_name_map_t::print()']]], - ['printopc_23',['printopc',['../classhnode_1_1hNode.html#a5218144f0be6b3190e69a32fbf007ee4',1,'hnode::hNode']]], - ['printtemplatearguments_24',['printTemplateArguments',['../classsystemc__clang_1_1FindTemplateTypes.html#a3a30cd15d94fae87ef51731f9b720b91',1,'systemc_clang::FindTemplateTypes::printTemplateArguments()'],['../classsc__ast__matchers_1_1PortMatcher.html#a20ca4349a2d84d35992a77ad30805430',1,'sc_ast_matchers::PortMatcher::printTemplateArguments()']]], - ['processbb_25',['ProcessBB',['../classsystemc__hdl_1_1HDLThread.html#ac4632de894e9f63e61f3977104b9efc8',1,'systemc_hdl::HDLThread']]], - ['processcxxconstructorhcode_26',['ProcessCXXConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a336155f74c106eeccd2a05810fe5ca10',1,'systemc_hdl::HDLConstructorHcode']]], - ['processdecl_27',['ProcessDecl',['../classsystemc__clang_1_1ProcessDecl.html#a0168c6643f9f020a5c3d4c245d1b1699',1,'systemc_clang::ProcessDecl::ProcessDecl(std::string process_type, std::string entry_name, clang::CXXMethodDecl *entry_method_decl, EntryFunctionContainer *entry_fn)'],['../classsystemc__clang_1_1ProcessDecl.html#a0c271d9e309ae525b2e125e2d67dc609',1,'systemc_clang::ProcessDecl::ProcessDecl(const ProcessDecl &)']]], - ['processdeclstmt_28',['ProcessDeclStmt',['../classsystemc__hdl_1_1HDLThread.html#afb96da810e131453ef19510ae5ec2694',1,'systemc_hdl::HDLThread']]], - ['processhwait_29',['ProcessHWait',['../classsystemc__hdl_1_1HDLThread.html#a73f51ef7aa7c2959c6b62ad110e720f4',1,'systemc_hdl::HDLThread']]], - ['processinstancecxxdecls_30',['processInstanceCXXDecls',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a5e88cf084dca7917cf10d50f2d02490d',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['processnetlist_31',['processNetlist',['../classsystemc__clang_1_1SystemCConsumer.html#abae8e220b87934fdbec5d0e9ee61e801',1,'systemc_clang::SystemCConsumer']]], - ['processsplitgraphblock_32',['ProcessSplitGraphBlock',['../classsystemc__hdl_1_1HDLThread.html#a80bd343206ae40c8604b173ac28fc0c7',1,'systemc_hdl::HDLThread']]], - ['processsplitgraphgroup_33',['ProcessSplitGraphGroup',['../classsystemc__hdl_1_1HDLThread.html#a07c89c14c2e225890c0fb2a1e5edf1af',1,'systemc_hdl::HDLThread']]], - ['processswitchcase_34',['ProcessSwitchCase',['../classsystemc__hdl_1_1HDLBody.html#abc6014214ed2dc52d3e7d28188387112',1,'systemc_hdl::HDLBody']]], - ['processvardecl_35',['ProcessVarDecl',['../classsystemc__hdl_1_1HDLBody.html#a55042a90189466a86766cfb296246ae3',1,'systemc_hdl::HDLBody']]], - ['push_5fcurrent_5fscope_5ftype_36',['push_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adf7e9c6b0f27af52e84c767769ac76f2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['push_5findent_37',['push_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a67e34e398e448c92ec1616cbdcbfff3c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['push_5fscope_38',['push_scope',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#aa8079738a54d36d9ed37af43194b212c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['pushrange_39',['PushRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a346a0da5c4e787647adc16e63f527640',1,'systemc_hdl::HDLConstructorHcode']]] + ['portdecltype_15',['portdecltype',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a40d0b70e7e7b4c15171d00e1b35449ac',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.portdecltype()'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a1997010fd1d78208b2558695833486ce',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.portdecltype()'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a8e4117d90dbe8d92d4150b5b4a49d7eb',1,'parselib.transforms.interface_generation.InterfaceGeneration.portdecltype()'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#a3923da707fc7ce5469fe4915e8a29baa',1,'parselib.transforms.node_movement.ArrayPortMovement.portdecltype()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3153dc11c1c6dabe273524ba1ee9211c',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.portdecltype()']]], + ['portdecltype_5fto_5fsigdecltype_16',['portdecltype_to_sigdecltype',['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#ad53d0bb4df2f0f2941d17e46b34e5271',1,'parselib::transforms::node_movement::ArrayPortMovement']]], + ['portmatcher_17',['PortMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#a1bd74e34abdc8377be310d1f1580fc05',1,'sc_ast_matchers::PortMatcher']]], + ['portnamematcher_18',['portNameMatcher',['../classsc__ast__matchers_1_1PortMatcher.html#aa216994927873cee14ae2d889fb6f382',1,'sc_ast_matchers::PortMatcher']]], + ['postfire_19',['postFire',['../classSAPlugin.html#a0b06d21eea788c4434ce912662d4c1e6',1,'SAPlugin::postFire()'],['../classTimeAnalysis.html#adc50a7dbdbd75742d6da224d6e9c3596',1,'TimeAnalysis::postFire()'],['../classsystemc__clang_1_1SystemCConsumer.html#a5abaaf14db3be571b79714eac76737bc',1,'systemc_clang::SystemCConsumer::postFire()'],['../classsystemc__hdl_1_1HDLMain.html#a9ffb914cdea1fd4e797001a34ba8e2e4',1,'systemc_hdl::HDLMain::postFire()']]], + ['prefire_20',['preFire',['../classsystemc__clang_1_1SystemCConsumer.html#a7ecea0031ec9e348f9054123bb84a0e8',1,'systemc_clang::SystemCConsumer']]], + ['preparepathinfo_21',['preparePathInfo',['../classsystemc__clang_1_1SplitCFG.html#a6d5e3585f024298e8618e24e40474721',1,'systemc_clang::SplitCFG']]], + ['prevardecl_22',['prevardecl',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aa297a6ffa32d5b966570d44f35094507',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.prevardecl()'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa768a5b100c6fb9ed672154863e368a0',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.prevardecl()']]], + ['print_23',['print',['../classHDLType.html#a4d98fa7cfaf54532eaa2d4a588e3d658',1,'HDLType::print(llvm::raw_ostream &modelout=llvm::outs(), unsigned int indnt=2)'],['../classHDLType.html#a2e5d07939e3e11ff552f4b7679fefcae',1,'HDLType::print()'],['../classhnode_1_1hNode.html#af2caf10bac111af51d09b98b302ec40c',1,'hnode::hNode::print()'],['../classhnode_1_1newname__map__t.html#adbf04ee5674001add48ca37fe0ab6d44',1,'hnode::newname_map_t::print()'],['../classhnode_1_1hfunc__name__map__t.html#abe81f79be275e09d357b0c7a0618b642',1,'hnode::hfunc_name_map_t::print()']]], + ['printopc_24',['printopc',['../classhnode_1_1hNode.html#a5218144f0be6b3190e69a32fbf007ee4',1,'hnode::hNode']]], + ['printtemplatearguments_25',['printTemplateArguments',['../classsystemc__clang_1_1FindTemplateTypes.html#a3a30cd15d94fae87ef51731f9b720b91',1,'systemc_clang::FindTemplateTypes::printTemplateArguments()'],['../classsc__ast__matchers_1_1PortMatcher.html#a20ca4349a2d84d35992a77ad30805430',1,'sc_ast_matchers::PortMatcher::printTemplateArguments()']]], + ['processbb_26',['ProcessBB',['../classsystemc__hdl_1_1HDLThread.html#ac4632de894e9f63e61f3977104b9efc8',1,'systemc_hdl::HDLThread']]], + ['processcxxconstructorhcode_27',['ProcessCXXConstructorHcode',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a336155f74c106eeccd2a05810fe5ca10',1,'systemc_hdl::HDLConstructorHcode']]], + ['processdecl_28',['ProcessDecl',['../classsystemc__clang_1_1ProcessDecl.html#a0168c6643f9f020a5c3d4c245d1b1699',1,'systemc_clang::ProcessDecl::ProcessDecl(std::string process_type, std::string entry_name, clang::CXXMethodDecl *entry_method_decl, EntryFunctionContainer *entry_fn)'],['../classsystemc__clang_1_1ProcessDecl.html#a0c271d9e309ae525b2e125e2d67dc609',1,'systemc_clang::ProcessDecl::ProcessDecl(const ProcessDecl &)']]], + ['processdeclstmt_29',['ProcessDeclStmt',['../classsystemc__hdl_1_1HDLThread.html#afb96da810e131453ef19510ae5ec2694',1,'systemc_hdl::HDLThread']]], + ['processhwait_30',['ProcessHWait',['../classsystemc__hdl_1_1HDLThread.html#a73f51ef7aa7c2959c6b62ad110e720f4',1,'systemc_hdl::HDLThread']]], + ['processinstancecxxdecls_31',['processInstanceCXXDecls',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a5e88cf084dca7917cf10d50f2d02490d',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['processnetlist_32',['processNetlist',['../classsystemc__clang_1_1SystemCConsumer.html#abae8e220b87934fdbec5d0e9ee61e801',1,'systemc_clang::SystemCConsumer']]], + ['processsplitgraphblock_33',['ProcessSplitGraphBlock',['../classsystemc__hdl_1_1HDLThread.html#a80bd343206ae40c8604b173ac28fc0c7',1,'systemc_hdl::HDLThread']]], + ['processsplitgraphgroup_34',['ProcessSplitGraphGroup',['../classsystemc__hdl_1_1HDLThread.html#a07c89c14c2e225890c0fb2a1e5edf1af',1,'systemc_hdl::HDLThread']]], + ['processswitchcase_35',['ProcessSwitchCase',['../classsystemc__hdl_1_1HDLBody.html#abc6014214ed2dc52d3e7d28188387112',1,'systemc_hdl::HDLBody']]], + ['processvardecl_36',['ProcessVarDecl',['../classsystemc__hdl_1_1HDLBody.html#a55042a90189466a86766cfb296246ae3',1,'systemc_hdl::HDLBody']]], + ['push_5fcurrent_5fscope_5ftype_37',['push_current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adf7e9c6b0f27af52e84c767769ac76f2',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['push_5findent_38',['push_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a67e34e398e448c92ec1616cbdcbfff3c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['push_5fscope_39',['push_scope',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#aa8079738a54d36d9ed37af43194b212c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['pushrange_40',['PushRange',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a346a0da5c4e787647adc16e63f527640',1,'systemc_hdl::HDLConstructorHcode']]] ]; diff --git a/search/functions_f.js b/search/functions_f.js index 7241d849..60a1933e 100644 --- a/search/functions_f.js +++ b/search/functions_f.js @@ -21,8 +21,8 @@ var searchData= ['returnnodevector_18',['returnNodeVector',['../classsystemc__clang_1_1Graph.html#acc442b86231bfa1ef0ef88a955a04e2d',1,'systemc_clang::Graph']]], ['returnsimtime_19',['returnSimTime',['../classsystemc__clang_1_1FindSimTime.html#af73d77b43400a142944c9ae2665a5540',1,'systemc_clang::FindSimTime']]], ['returnsuscfgblock_20',['returnSusCFGBlock',['../classsystemc__clang_1_1State.html#ac6751ed581aadfe736693c36b8bc763c',1,'systemc_clang::State']]], - ['run_21',['run',['../classsystemc__clang_1_1BreakMatcher.html#aa614d7f8a2b76314b97c7721f7119164',1,'systemc_clang::BreakMatcher::run()'],['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#aa794cfa834d37400d07a380107303b5c',1,'sc_ast_matchers::FindMemberFieldMatcher::run()'],['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#ac71b040788b00885636ff00dc942363b',1,'sc_ast_matchers::InstanceArgumentMatcher::run()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a9a170c8fb20d0d812ec515cf9c09600f',1,'sc_ast_matchers::InstanceMatcher::run()'],['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#aae4820979b827babc2122b17c53a5595',1,'sc_ast_matchers::ModuleDeclarationMatcher::run()'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ade1e4bb1475dfeda376496085b315b82',1,'sc_ast_matchers::NetlistMatcher::run()'],['../classsc__ast__matchers_1_1PortMatcher.html#ad9f8dff551d34080d67d973c119edab8',1,'sc_ast_matchers::PortMatcher::run()'],['../classsc__ast__matchers_1_1ResetMatcher.html#ad952df7cc22f76aa79bbdcf52453c902',1,'sc_ast_matchers::ResetMatcher::run()'],['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#aae2116f803d9533ea7d40ea93d5ff91d',1,'sc_ast_matchers::CallerCalleeMatcher::run()'],['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a0eb9b60064a4df2eff0d7fb95ce4973b',1,'sc_ast_matchers::SensitiveOperatorCallMatcher::run()'],['../classsc__ast__matchers_1_1SensitivityMatcher.html#a06b1f9e16feadaf50e77dcfddd31cf3b',1,'sc_ast_matchers::SensitivityMatcher::run()'],['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#af9c363af0ae425bd643e408ccc347637',1,'sc_ast_matchers::utils::NamespaceMatcher::run()'],['../classTemplateParametersMatcher.html#a3006709170adfd3c69c35ebba7386aff',1,'TemplateParametersMatcher::run()']]], - ['run_22',['Run',['../classsystemc__hdl_1_1HDLBody.html#a9aebf447ff8177aa7b30d260bc8314e3',1,'systemc_hdl::HDLBody']]], + ['run_21',['Run',['../classsystemc__hdl_1_1HDLBody.html#a9aebf447ff8177aa7b30d260bc8314e3',1,'systemc_hdl::HDLBody']]], + ['run_22',['run',['../classsystemc__clang_1_1BreakMatcher.html#aa614d7f8a2b76314b97c7721f7119164',1,'systemc_clang::BreakMatcher::run()'],['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#aa794cfa834d37400d07a380107303b5c',1,'sc_ast_matchers::FindMemberFieldMatcher::run()'],['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#ac71b040788b00885636ff00dc942363b',1,'sc_ast_matchers::InstanceArgumentMatcher::run()'],['../classsc__ast__matchers_1_1InstanceMatcher.html#a9a170c8fb20d0d812ec515cf9c09600f',1,'sc_ast_matchers::InstanceMatcher::run()'],['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#aae4820979b827babc2122b17c53a5595',1,'sc_ast_matchers::ModuleDeclarationMatcher::run()'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ade1e4bb1475dfeda376496085b315b82',1,'sc_ast_matchers::NetlistMatcher::run()'],['../classsc__ast__matchers_1_1PortMatcher.html#ad9f8dff551d34080d67d973c119edab8',1,'sc_ast_matchers::PortMatcher::run()'],['../classsc__ast__matchers_1_1ResetMatcher.html#ad952df7cc22f76aa79bbdcf52453c902',1,'sc_ast_matchers::ResetMatcher::run()'],['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#aae2116f803d9533ea7d40ea93d5ff91d',1,'sc_ast_matchers::CallerCalleeMatcher::run()'],['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#a0eb9b60064a4df2eff0d7fb95ce4973b',1,'sc_ast_matchers::SensitiveOperatorCallMatcher::run()'],['../classsc__ast__matchers_1_1SensitivityMatcher.html#a06b1f9e16feadaf50e77dcfddd31cf3b',1,'sc_ast_matchers::SensitivityMatcher::run()'],['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#af9c363af0ae425bd643e408ccc347637',1,'sc_ast_matchers::utils::NamespaceMatcher::run()'],['../classTemplateParametersMatcher.html#a3006709170adfd3c69c35ebba7386aff',1,'TemplateParametersMatcher::run()']]], ['runmoduledeclarationmatchers_23',['runModuleDeclarationMatchers',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#ada4785da1fa4db40043570493a93f792',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], ['runportmatcher_24',['runPortMatcher',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a6bb6447a29cae8e5d617757958626102',1,'sc_ast_matchers::ModuleDeclarationMatcher']]] ]; diff --git a/search/namespaces_2.js b/search/namespaces_2.js index 5d52eb78..1edd92ea 100644 --- a/search/namespaces_2.js +++ b/search/namespaces_2.js @@ -11,22 +11,25 @@ var searchData= ['parselib_3a_3atransforms_3a_3afunction_5fparam_5fmarker_8',['function_param_marker',['../namespaceparselib_1_1transforms_1_1function__param__marker.html',1,'parselib::transforms']]], ['parselib_3a_3atransforms_3a_3afunction_5ftransformation_5fpass_9',['function_transformation_pass',['../namespaceparselib_1_1transforms_1_1function__transformation__pass.html',1,'parselib::transforms']]], ['parselib_3a_3atransforms_3a_3ahelpers_10',['helpers',['../namespaceparselib_1_1transforms_1_1helpers.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aliteral_5fexpansion_11',['literal_expansion',['../namespaceparselib_1_1transforms_1_1literal__expansion.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aname_5fstub_12',['name_stub',['../namespaceparselib_1_1transforms_1_1name__stub.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3anode_13',['node',['../namespaceparselib_1_1transforms_1_1node.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3anode_5fmerge_14',['node_merge',['../namespaceparselib_1_1transforms_1_1node__merge.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3anode_5fmovement_15',['node_movement',['../namespaceparselib_1_1transforms_1_1node__movement.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3apasses_16',['passes',['../namespaceparselib_1_1transforms_1_1passes.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aport_5fexpansion_17',['port_expansion',['../namespaceparselib_1_1transforms_1_1port__expansion.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3areorder_5fmod_5finit_5fblock_18',['reorder_mod_init_block',['../namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3aslice_5fmerge_19',['slice_merge',['../namespaceparselib_1_1transforms_1_1slice__merge.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3asort_5fvar_5fdecl_20',['sort_var_decl',['../namespaceparselib_1_1transforms_1_1sort__var__decl.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3astructure_5fcollector_21',['structure_collector',['../namespaceparselib_1_1transforms_1_1structure__collector.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atop_5fdown_22',['top_down',['../namespaceparselib_1_1transforms_1_1top__down.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atype_5fcollector_23',['type_collector',['../namespaceparselib_1_1transforms_1_1type__collector.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atype_5fnode_24',['type_node',['../namespaceparselib_1_1transforms_1_1type__node.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atypedef_5fexpansion_25',['typedef_expansion',['../namespaceparselib_1_1transforms_1_1typedef__expansion.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3atypedef_5ffilter_26',['typedef_filter',['../namespaceparselib_1_1transforms_1_1typedef__filter.html',1,'parselib::transforms']]], - ['parselib_3a_3atransforms_3a_3averilog_5ftranlation_27',['verilog_tranlation',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html',1,'parselib::transforms']]], - ['parselib_3a_3autils_28',['utils',['../namespaceparselib_1_1utils.html',1,'parselib']]] + ['parselib_3a_3atransforms_3a_3ainterface_5fgeneration_11',['interface_generation',['../namespaceparselib_1_1transforms_1_1interface__generation.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aliteral_5fexpansion_12',['literal_expansion',['../namespaceparselib_1_1transforms_1_1literal__expansion.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aname_5fstub_13',['name_stub',['../namespaceparselib_1_1transforms_1_1name__stub.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3anode_14',['node',['../namespaceparselib_1_1transforms_1_1node.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3anode_5fmerge_15',['node_merge',['../namespaceparselib_1_1transforms_1_1node__merge.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3anode_5fmovement_16',['node_movement',['../namespaceparselib_1_1transforms_1_1node__movement.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3apasses_17',['passes',['../namespaceparselib_1_1transforms_1_1passes.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aport_5fexpansion_18',['port_expansion',['../namespaceparselib_1_1transforms_1_1port__expansion.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aportbinding_5frecollect_19',['portbinding_recollect',['../namespaceparselib_1_1transforms_1_1portbinding__recollect.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3areorder_5fmod_5finit_5fblock_20',['reorder_mod_init_block',['../namespaceparselib_1_1transforms_1_1reorder__mod__init__block.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3asensevar_5fmovement_21',['sensevar_movement',['../namespaceparselib_1_1transforms_1_1sensevar__movement.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3aslice_5fmerge_22',['slice_merge',['../namespaceparselib_1_1transforms_1_1slice__merge.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3asort_5fvar_5fdecl_23',['sort_var_decl',['../namespaceparselib_1_1transforms_1_1sort__var__decl.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3astructure_5fcollector_24',['structure_collector',['../namespaceparselib_1_1transforms_1_1structure__collector.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atop_5fdown_25',['top_down',['../namespaceparselib_1_1transforms_1_1top__down.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atype_5fcollector_26',['type_collector',['../namespaceparselib_1_1transforms_1_1type__collector.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atype_5fnode_27',['type_node',['../namespaceparselib_1_1transforms_1_1type__node.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atypedef_5fexpansion_28',['typedef_expansion',['../namespaceparselib_1_1transforms_1_1typedef__expansion.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3atypedef_5ffilter_29',['typedef_filter',['../namespaceparselib_1_1transforms_1_1typedef__filter.html',1,'parselib::transforms']]], + ['parselib_3a_3atransforms_3a_3averilog_5ftranlation_30',['verilog_tranlation',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html',1,'parselib::transforms']]], + ['parselib_3a_3autils_31',['utils',['../namespaceparselib_1_1utils.html',1,'parselib']]] ]; diff --git a/search/pages_2.js b/search/pages_2.js index 6e5692c8..4ce2662b 100644 --- a/search/pages_2.js +++ b/search/pages_2.js @@ -1,5 +1,6 @@ var searchData= [ - ['clang_20is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_0',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], - ['constructs_20built_20using_20clang_1',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]] + ['clang_0',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['clang_20is_20a_20parser_20for_20systemc_20constructs_20built_20using_20clang_1',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]], + ['constructs_20built_20using_20clang_2',['systemc-clang is a parser for SystemC constructs built using clang.',['../index.html',1,'']]] ]; diff --git a/search/typedefs_0.js b/search/typedefs_0.js index a95c45d6..b2c3a6b9 100644 --- a/search/typedefs_0.js +++ b/search/typedefs_0.js @@ -1,12 +1,12 @@ var searchData= [ - ['adjedgesmaptype_0',['adjEdgesMapType',['../classsystemc__clang_1_1Graph.html#a6119afe7f2c8b9e9557707c0aa27983c',1,'systemc_clang::Graph']]], - ['adjedgespairtype_1',['adjEdgesPairType',['../classsystemc__clang_1_1Graph.html#a2d1b4a9967fafc8e8cf31572d68ccfac',1,'systemc_clang::Graph']]], - ['adjmaptype_2',['adjMapType',['../classsystemc__clang_1_1Graph.html#a4f17ef653bb8b7e86d92639f6013890f',1,'systemc_clang::Graph']]], - ['adjpairtype_3',['adjPairType',['../classsystemc__clang_1_1Graph.html#a6c0212ddb2c4e032bc508d37d1a8ae90',1,'systemc_clang::Graph']]], - ['argvectortype_4',['argVectorType',['../classsystemc__clang_1_1FindTemplateTypes.html#a7a71e9cab2cda2feca1100605e869191',1,'systemc_clang::FindTemplateTypes']]], - ['arrayparamtype_5',['ArrayParamType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#aac2023ad45d93dba8f49b60a99187900',1,'sc_ast_matchers::ModuleInstanceType']]], + ['adjedgesmaptype_0',['adjEdgesMapType',['../classsystemc__clang_1_1Graph.html#aff70fb7e5f2b40836af57bd9199471fe',1,'systemc_clang::Graph']]], + ['adjedgespairtype_1',['adjEdgesPairType',['../classsystemc__clang_1_1Graph.html#a7e2ea40ae192a040d99a254c27a2410e',1,'systemc_clang::Graph']]], + ['adjmaptype_2',['adjMapType',['../classsystemc__clang_1_1Graph.html#a257a264e92fac00b6cd33c85b91ec49e',1,'systemc_clang::Graph']]], + ['adjpairtype_3',['adjPairType',['../classsystemc__clang_1_1Graph.html#aff14abbeb0f7b051fb349167f19ba5fa',1,'systemc_clang::Graph']]], + ['argvectortype_4',['argVectorType',['../classsystemc__clang_1_1FindTemplateTypes.html#a52691b38c03b3b7d5bd5af403852a6e5',1,'systemc_clang::FindTemplateTypes']]], + ['arrayparamtype_5',['ArrayParamType',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a76b5636bc3ba549bcb8c451cdb166da5',1,'sc_ast_matchers::ModuleInstanceType']]], ['arraysizesexprtype_6',['ArraySizesExprType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#acff532eeeea53088536f606a537ccd24',1,'sc_ast_matchers::utils::array_type']]], ['arraysizestype_7',['ArraySizesType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#ae8bd783b0a85b63dd15d51d2105819e7',1,'sc_ast_matchers::utils::array_type']]], - ['arraysubscriptsexprtype_8',['ArraySubscriptsExprType',['../classsystemc__clang_1_1PortBinding.html#a0effb4c0e27bbcfbd56f59bca0ca46e6',1,'systemc_clang::PortBinding']]] + ['arraysubscriptsexprtype_8',['ArraySubscriptsExprType',['../classsystemc__clang_1_1PortBinding.html#a5b3d49842a20bb26c6bdbafcc2bbaa25',1,'systemc_clang::PortBinding']]] ]; diff --git a/search/typedefs_1.js b/search/typedefs_1.js index 0a70b8af..4d53568e 100644 --- a/search/typedefs_1.js +++ b/search/typedefs_1.js @@ -1,11 +1,11 @@ var searchData= [ - ['callercalleetype_0',['CallerCalleeType',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#a6f4bc8d4074c1bcac2b8b8bfbcb7fc97',1,'sc_ast_matchers::CallerCalleeMatcher']]], - ['classeventmaptype_1',['classEventMapType',['../classsystemc__clang_1_1FindEvents.html#a493e653086359dddf25ef6afe9805b38',1,'systemc_clang::FindEvents']]], - ['commontimedpmaptype_2',['commonTimeDPMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a74a356cce004fe03c3bae5f854ef6e06',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['commontimedppairtype_3',['commonTimeDPPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a59af33b23c7eb23246f0d95c642cf414',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['connectmaptype_4',['connectMapType',['../classsystemc__clang_1_1Node.html#a757a0a264ce7af97e787057df02a864d',1,'systemc_clang::Node']]], - ['connectpairtype_5',['connectPairType',['../classsystemc__clang_1_1Node.html#a3a402e48e9ae2e5d1a9d58a23463bb52',1,'systemc_clang::Node']]], + ['callercalleetype_0',['CallerCalleeType',['../classsc__ast__matchers_1_1CallerCalleeMatcher.html#ae54f22328a74c4bfa357aefccf98b2ec',1,'sc_ast_matchers::CallerCalleeMatcher']]], + ['classeventmaptype_1',['classEventMapType',['../classsystemc__clang_1_1FindEvents.html#a43d58ef965be54e53836e177c6907123',1,'systemc_clang::FindEvents']]], + ['commontimedpmaptype_2',['commonTimeDPMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aafa31be66dd46212a4511e00701ea2a6',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['commontimedppairtype_3',['commonTimeDPPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ade55a44a6ec8e758d1a54bef2f843e3b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['connectmaptype_4',['connectMapType',['../classsystemc__clang_1_1Node.html#ae5f09ebef467727cba7a2637e815b9de',1,'systemc_clang::Node']]], + ['connectpairtype_5',['connectPairType',['../classsystemc__clang_1_1Node.html#ac2e9e174be088f3a61adbcf09e45a511',1,'systemc_clang::Node']]], ['const_5fiterator_6',['const_iterator',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae7770eee59fdc2200105c656cb84c641',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], ['const_5fsucc_5fiterator_7',['const_succ_iterator',['../classsystemc__clang_1_1SplitCFGBlock.html#a0eb2183d2f2098821fa4b4d8579f2372',1,'systemc_clang::SplitCFGBlock']]], ['const_5fsucc_5fiterator_5frange_8',['const_succ_iterator_range',['../classsystemc__clang_1_1SplitCFGBlock.html#a76156f187742e143031aef01cb9c9fc6',1,'systemc_clang::SplitCFGBlock']]] diff --git a/search/typedefs_10.js b/search/typedefs_10.js index b6973ed7..8af01b51 100644 --- a/search/typedefs_10.js +++ b/search/typedefs_10.js @@ -1,5 +1,5 @@ var searchData= [ - ['userrectype_5fmap_5ft_0',['userrectype_map_t',['../classHDLType.html#af9ac9be87d59969e3f75f5a44a4aada7',1,'HDLType']]], - ['usertype_5fmap_5ft_1',['usertype_map_t',['../classHDLType.html#a337473de31019450dfe4ac68ccae483b',1,'HDLType']]] + ['userrectype_5fmap_5ft_0',['userrectype_map_t',['../classHDLType.html#a2f25d18d375894a1c3adfacbf6a91e8f',1,'HDLType']]], + ['usertype_5fmap_5ft_1',['usertype_map_t',['../classHDLType.html#a81dc731b6d185162597950b1d53fcc7c',1,'HDLType']]] ]; diff --git a/search/typedefs_11.js b/search/typedefs_11.js index b5fa4f5f..613deb46 100644 --- a/search/typedefs_11.js +++ b/search/typedefs_11.js @@ -8,7 +8,7 @@ var searchData= ['vectorsplitcfgblockptr_5',['VectorSplitCFGBlockPtr',['../classsystemc__clang_1_1SplitCFGBlock.html#acf7732a4d6afdabf59227d3d5def4f34',1,'systemc_clang::SplitCFGBlock']]], ['vectorsplitcfgblockptrimpl_6',['VectorSplitCFGBlockPtrImpl',['../classsystemc__clang_1_1SplitCFGBlock.html#a94eb2dc0458606a8660d39f258beef36',1,'systemc_clang::SplitCFGBlock']]], ['vectorsuccessors_7',['VectorSuccessors',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a541b9739173fdb89b2e1d3f43bc0ce33',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['vectortreeptr_8',['VectorTreePtr',['../classsystemc__clang_1_1Tree.html#aeee87e5e2a3776887321ca75ce1d935f',1,'systemc_clang::Tree']]], - ['visittransitionmaptype_9',['visitTransitionMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad4740b081a0880d4735ec9e8c93354c7',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['visittransitionpairtype_10',['visitTransitionPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa98d9120219f6deb9d1c9f8bc2b03dc8',1,'systemc_clang::GlobalSuspensionAutomata']]] + ['vectortreeptr_8',['VectorTreePtr',['../classsystemc__clang_1_1Tree.html#a5933778eff7771ebc6b15c56b7757300',1,'systemc_clang::Tree']]], + ['visittransitionmaptype_9',['visitTransitionMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a750a02e74693d5dc6e00a78a64bf0244',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['visittransitionpairtype_10',['visitTransitionPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6c3c3224c13d5f8eef0f7097c05a73c9',1,'systemc_clang::GlobalSuspensionAutomata']]] ]; diff --git a/search/typedefs_12.js b/search/typedefs_12.js index 82e0ccd2..aed0ea8f 100644 --- a/search/typedefs_12.js +++ b/search/typedefs_12.js @@ -1,6 +1,6 @@ var searchData= [ - ['waitargvectortype_0',['waitArgVectorType',['../classsystemc__clang_1_1NotifyContainer.html#a6b94a9df734b4983af81964e08caaa73',1,'systemc_clang::NotifyContainer::waitArgVectorType'],['../classsystemc__clang_1_1WaitContainer.html#aba102638819d0f416eebf93a7ebff211',1,'systemc_clang::WaitContainer::waitArgVectorType']]], - ['waitcontainerlisttype_1',['waitContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aa0c0aeb1d99d6a6a9b534764609d32a9',1,'systemc_clang::EntryFunctionContainer']]], - ['waitlisttype_2',['waitListType',['../classsystemc__clang_1_1FindWait.html#a1fd6b2134e60c643a437437ed75d765d',1,'systemc_clang::FindWait']]] + ['waitargvectortype_0',['waitArgVectorType',['../classsystemc__clang_1_1NotifyContainer.html#a5fccf7193a4c31f7329b3896d42534ab',1,'systemc_clang::NotifyContainer::waitArgVectorType'],['../classsystemc__clang_1_1WaitContainer.html#a4cd784d1a6d757ed6698ac4675db6939',1,'systemc_clang::WaitContainer::waitArgVectorType']]], + ['waitcontainerlisttype_1',['waitContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ae965ec99921a5bf047f22a4a36bb9b26',1,'systemc_clang::EntryFunctionContainer']]], + ['waitlisttype_2',['waitListType',['../classsystemc__clang_1_1FindWait.html#a19b21f3b63657f3a73fa78b8ef2f162b',1,'systemc_clang::FindWait']]] ]; diff --git a/search/typedefs_3.js b/search/typedefs_3.js index d5b96a77..f59b14c9 100644 --- a/search/typedefs_3.js +++ b/search/typedefs_3.js @@ -1,20 +1,20 @@ var searchData= [ - ['edgeidvector_0',['edgeIDVector',['../classsystemc__clang_1_1Graph.html#acc6f301ba6e28a826613c7053c0081bd',1,'systemc_clang::Graph']]], - ['edgemaptype_1',['edgeMapType',['../classsystemc__clang_1_1Graph.html#abff1b72e06bcd00a734cc17beaec7037',1,'systemc_clang::Graph']]], - ['edgepairtype_2',['edgePairType',['../classsystemc__clang_1_1Graph.html#addbac67cac024ada8cd801dbef9fabed',1,'systemc_clang::Graph']]], - ['edgevector_3',['edgeVector',['../classsystemc__clang_1_1Graph.html#a5d8068c36a854814c31e59fc4c1955aa',1,'systemc_clang::Graph']]], - ['entryfunctiongpumacromaptype_4',['entryFunctionGPUMacroMapType',['../classsystemc__clang_1_1Model.html#a9ce62bbafa3ec966ba8de8c98a238bb2',1,'systemc_clang::Model']]], - ['entryfunctiongpumacropairtype_5',['entryFunctionGPUMacroPairType',['../classsystemc__clang_1_1Model.html#a69b9dcf7c4b4d8a6b6a735110bfecd15',1,'systemc_clang::Model']]], - ['entryfunctioninstanceidmaptype_6',['entryFunctionInstanceIdMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aff1b79ef75c622aabe9e2bd384f64305',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctioninstanceidpairtype_7',['entryFunctionInstanceIdPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a12e17f95884cf65775acb1e05899a58a',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctionlhsmaptype_8',['entryFunctionLHSMapType',['../classsystemc__clang_1_1FindEntryFunctions.html#aff6d7588de582ac4424d09bfd22f3993',1,'systemc_clang::FindEntryFunctions']]], - ['entryfunctionlhspairtype_9',['entryFunctionLHSPairType',['../classsystemc__clang_1_1FindEntryFunctions.html#a9a5f9822d44e890c428e58f02f7a519c',1,'systemc_clang::FindEntryFunctions']]], - ['entryfunctionmacromaptype_10',['entryFunctionMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a1363a55892607c0e016d70e740a57f91',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctionmacropairtype_11',['entryFunctionMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abcce2a03d05d57d4d9dbc786f7edf9d1',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['entryfunctionvectortype_12',['entryFunctionVectorType',['../classsystemc__clang_1_1FindEntryFunctions.html#a7414ce6160d09ea8539f4513bc204338',1,'systemc_clang::FindEntryFunctions']]], - ['eventmaptype_13',['eventMapType',['../classsystemc__clang_1_1Model.html#ad5b45a89d42a948313c31c35179745c9',1,'systemc_clang::Model']]], - ['eventnotificationtimemaptype_14',['eventNotificationTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa89f9ae41fc5343a33462912b8037667',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['eventnotificationtimepairtype_15',['eventNotificationTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a87b9935e2a92ea9266a4daa89de48a0c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['eventpairtype_16',['eventPairType',['../classsystemc__clang_1_1Model.html#aac5d70784acba705b517bffe69a1438d',1,'systemc_clang::Model']]] + ['edgeidvector_0',['edgeIDVector',['../classsystemc__clang_1_1Graph.html#a7bb330c3e3c0581404d36e1e7d3a6402',1,'systemc_clang::Graph']]], + ['edgemaptype_1',['edgeMapType',['../classsystemc__clang_1_1Graph.html#a4b91ff84373cfdf02a0351c9e77addf2',1,'systemc_clang::Graph']]], + ['edgepairtype_2',['edgePairType',['../classsystemc__clang_1_1Graph.html#a5e6c31b366badd9817a48af719cf34d3',1,'systemc_clang::Graph']]], + ['edgevector_3',['edgeVector',['../classsystemc__clang_1_1Graph.html#a2fe1064e8803b0f5d27b07b5febc8dfe',1,'systemc_clang::Graph']]], + ['entryfunctiongpumacromaptype_4',['entryFunctionGPUMacroMapType',['../classsystemc__clang_1_1Model.html#a2921c4f53ef38f4742f1a0ea4f252f58',1,'systemc_clang::Model']]], + ['entryfunctiongpumacropairtype_5',['entryFunctionGPUMacroPairType',['../classsystemc__clang_1_1Model.html#af5e3d41ee5b9ec674becccea5802f487',1,'systemc_clang::Model']]], + ['entryfunctioninstanceidmaptype_6',['entryFunctionInstanceIdMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a828e570082858c54f52cce63c1bec31f',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctioninstanceidpairtype_7',['entryFunctionInstanceIdPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae9ff162f6b533eb464227dd70ecdee9f',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctionlhsmaptype_8',['entryFunctionLHSMapType',['../classsystemc__clang_1_1FindEntryFunctions.html#ab1e0d2a33ad919c80138118d0c82fbdf',1,'systemc_clang::FindEntryFunctions']]], + ['entryfunctionlhspairtype_9',['entryFunctionLHSPairType',['../classsystemc__clang_1_1FindEntryFunctions.html#a892dbeb554d6e35945778933b3aa6197',1,'systemc_clang::FindEntryFunctions']]], + ['entryfunctionmacromaptype_10',['entryFunctionMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a01e46941846d30d0152a15689dee034b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctionmacropairtype_11',['entryFunctionMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afba25704dc38cad48b8f2af5d4b2aa8b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['entryfunctionvectortype_12',['entryFunctionVectorType',['../classsystemc__clang_1_1FindEntryFunctions.html#aaeb11926b49c5ca5bfa57fde7bd897c7',1,'systemc_clang::FindEntryFunctions']]], + ['eventmaptype_13',['eventMapType',['../classsystemc__clang_1_1Model.html#a7b99fb6a0d403b5f60c4db42bfbd4614',1,'systemc_clang::Model']]], + ['eventnotificationtimemaptype_14',['eventNotificationTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a6df1f6e329488fa71e7b393e395dfb4c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['eventnotificationtimepairtype_15',['eventNotificationTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#adae29e7b0b7fb4e3a022dc16fdca663b',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['eventpairtype_16',['eventPairType',['../classsystemc__clang_1_1Model.html#a36993a59bdb21c8b61a5c322fc9bb48a',1,'systemc_clang::Model']]] ]; diff --git a/search/typedefs_4.js b/search/typedefs_4.js index c991b385..aaa619b1 100644 --- a/search/typedefs_4.js +++ b/search/typedefs_4.js @@ -1,7 +1,7 @@ var searchData= [ - ['forstmtgpumacromaptype_0',['forStmtGPUMacroMapType',['../classsystemc__clang_1_1FindGPUMacro.html#a683dfecf001a3f455e3894ac825ca1bc',1,'systemc_clang::FindGPUMacro']]], - ['forstmtgpumacropairtype_1',['forStmtGPUMacroPairType',['../classsystemc__clang_1_1FindGPUMacro.html#a86a326f526c790d043be6ec3df04ca64',1,'systemc_clang::FindGPUMacro']]], - ['forstmtinstanceidmaptype_2',['forStmtInstanceIdMapType',['../classsystemc__clang_1_1FindGPUMacro.html#ab7896ae9188debbbaa54744048bb467a',1,'systemc_clang::FindGPUMacro']]], - ['forstmtinstanceidpairtype_3',['forStmtInstanceIdPairType',['../classsystemc__clang_1_1FindGPUMacro.html#a9bed9afe2922108381ef0ac5bb3505de',1,'systemc_clang::FindGPUMacro']]] + ['forstmtgpumacromaptype_0',['forStmtGPUMacroMapType',['../classsystemc__clang_1_1FindGPUMacro.html#ad580a867117896c9f08f9f334ab95424',1,'systemc_clang::FindGPUMacro']]], + ['forstmtgpumacropairtype_1',['forStmtGPUMacroPairType',['../classsystemc__clang_1_1FindGPUMacro.html#ad0e27c32e12c8978e8de00330ce9da71',1,'systemc_clang::FindGPUMacro']]], + ['forstmtinstanceidmaptype_2',['forStmtInstanceIdMapType',['../classsystemc__clang_1_1FindGPUMacro.html#add13c17d487e243989ab8b9dd05bc3b7',1,'systemc_clang::FindGPUMacro']]], + ['forstmtinstanceidpairtype_3',['forStmtInstanceIdPairType',['../classsystemc__clang_1_1FindGPUMacro.html#ae109fed9275bdbbbd7cbc15c93eaafc2',1,'systemc_clang::FindGPUMacro']]] ]; diff --git a/search/typedefs_5.js b/search/typedefs_5.js index 09fe9b71..479de67e 100644 --- a/search/typedefs_5.js +++ b/search/typedefs_5.js @@ -1,4 +1,4 @@ var searchData= [ - ['globaleventmaptype_0',['globalEventMapType',['../classsystemc__clang_1_1FindGlobalEvents.html#ad9c203c3aa69a85bc288d2bdc39a9924',1,'systemc_clang::FindGlobalEvents']]] + ['globaleventmaptype_0',['globalEventMapType',['../classsystemc__clang_1_1FindGlobalEvents.html#a79eab5b6e345bec9be45ebffa2ecd665',1,'systemc_clang::FindGlobalEvents']]] ]; diff --git a/search/typedefs_7.js b/search/typedefs_7.js index 51056a3c..9aac4403 100644 --- a/search/typedefs_7.js +++ b/search/typedefs_7.js @@ -2,25 +2,25 @@ var searchData= [ ['indexmaptype_0',['IndexMapType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a8d73d3e8a037eafac89d3561cbafb162',1,'sc_ast_matchers::utils::array_type']]], ['indexpairtype_1',['IndexPairType',['../namespacesc__ast__matchers_1_1utils_1_1array__type.html#a51fab4502d93ed9bfe3511c0fd03a142',1,'sc_ast_matchers::utils::array_type']]], - ['instancedeclarations_2',['InstanceDeclarations',['../classsc__ast__matchers_1_1InstanceMatcher.html#a2565d2317cefa7d03a6765d5370a15e5',1,'sc_ast_matchers::InstanceMatcher']]], - ['instancedeclarationstype_3',['InstanceDeclarationsType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a365a93a3acf5d3e4296b0fc3b72de11d',1,'sc_ast_matchers::InstanceMatcher']]], - ['instancedecltype_4',['InstanceDeclType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a70b046aa0e773c870c2f169dc09b11a6',1,'sc_ast_matchers::InstanceMatcher']]], - ['instancefunctionsautomaptype_5',['instanceFunctionSautoMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5f78949942978b1e282d6240cb925280',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['instancefunctionsautopairtype_6',['instanceFunctionSautoPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a37c48830e073af6d4a513e6ce0762729',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['instancelistmodulemaptype_7',['instanceListModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#a7b975fb543df586174c99f6d4ed81800',1,'systemc_clang::FindNetlist']]], - ['instancelistmodulepairtype_8',['instanceListModulePairType',['../classsystemc__clang_1_1FindNetlist.html#acae6cc93b649887a31e0d0c93abb6e6e',1,'systemc_clang::FindNetlist']]], - ['instancelisttype_9',['InstanceListType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#ac3dae740827d5f892268a7334bc01a65',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['instancemodulemaptype_10',['instanceModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#a52b44a67e9256fb58d17050a35acb953',1,'systemc_clang::FindNetlist']]], - ['instancemodulepairtype_11',['instanceModulePairType',['../classsystemc__clang_1_1FindNetlist.html#a5d3bc5e0b09c88b902fbf73e7ba91eb8',1,'systemc_clang::FindNetlist']]], - ['instancename_12',['instanceName',['../classsystemc__clang_1_1ModuleInstance.html#a0c321f2d59affb19a09b5649c7522126',1,'systemc_clang::ModuleInstance']]], - ['instanceportsignalmaptype_13',['instancePortSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#a0c687bf7d0bac3a2fb59d5fa889435c1',1,'systemc_clang::FindNetlist']]], - ['instanceportsignalpairtype_14',['instancePortSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a9a9dfe4431764501134dd2aa80eff673',1,'systemc_clang::FindNetlist']]], - ['instancesautomaptype_15',['instanceSautoMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a1683472a1fedaef624ae20555ea7a75c',1,'systemc_clang::EntryFunctionContainer']]], - ['instancesautopairtype_16',['instanceSautoPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a521be2ae50e3a42214fcf65a5fe35a36',1,'systemc_clang::EntryFunctionContainer']]], - ['instancesuscfgmaptype_17',['instanceSusCFGMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a68cc5022c7cfac574696d20d689ba64e',1,'systemc_clang::EntryFunctionContainer']]], - ['instancesuscfgpairtype_18',['instanceSusCFGPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a754260d7cb55f89ca98735d656714a10',1,'systemc_clang::EntryFunctionContainer']]], - ['interfacemaptype_19',['interfaceMapType',['../classsystemc__clang_1_1ModuleInstance.html#a846e080d4532320ccc15fa375c1ca0b4',1,'systemc_clang::ModuleInstance']]], - ['interfacepairtype_20',['interfacePairType',['../classsystemc__clang_1_1ModuleInstance.html#a89a030fbffc20e47a275dcec04fd80a7',1,'systemc_clang::ModuleInstance']]], - ['interfacetype_21',['interfaceType',['../classsystemc__clang_1_1FindTLMInterfaces.html#a7ec171b2fc273ec1012eb9b9d3a5d3a8',1,'systemc_clang::FindTLMInterfaces']]], + ['instancedeclarations_2',['InstanceDeclarations',['../classsc__ast__matchers_1_1InstanceMatcher.html#a04699b21c9bc0750a1d44def1f46e526',1,'sc_ast_matchers::InstanceMatcher']]], + ['instancedeclarationstype_3',['InstanceDeclarationsType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a653b8ea3a6ebe99de3bd4decb19296a0',1,'sc_ast_matchers::InstanceMatcher']]], + ['instancedecltype_4',['InstanceDeclType',['../classsc__ast__matchers_1_1InstanceMatcher.html#a5767878fc0e755447055c4c73f6a5d76',1,'sc_ast_matchers::InstanceMatcher']]], + ['instancefunctionsautomaptype_5',['instanceFunctionSautoMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#abdcceec777abc31a837cfa268b835a6e',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['instancefunctionsautopairtype_6',['instanceFunctionSautoPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a121eab49fbfec146c4167b260ad5a8fa',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['instancelistmodulemaptype_7',['instanceListModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#abfba341ac10ea10946f3b288a6af60d9',1,'systemc_clang::FindNetlist']]], + ['instancelistmodulepairtype_8',['instanceListModulePairType',['../classsystemc__clang_1_1FindNetlist.html#a2ecdf6884ec1fb09ebfad16c1df7d32d',1,'systemc_clang::FindNetlist']]], + ['instancelisttype_9',['InstanceListType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#afdaddc0eb1f32fee2a5e50a215dd0a15',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['instancemodulemaptype_10',['instanceModuleMapType',['../classsystemc__clang_1_1FindNetlist.html#ae78a5ebf072b44afca7c8ec6e32bacf0',1,'systemc_clang::FindNetlist']]], + ['instancemodulepairtype_11',['instanceModulePairType',['../classsystemc__clang_1_1FindNetlist.html#a5ad7c164c9a34daeab425858e5f1df78',1,'systemc_clang::FindNetlist']]], + ['instancename_12',['instanceName',['../classsystemc__clang_1_1ModuleInstance.html#ad0883e1cd0f90f5c1979e1689f1bfec1',1,'systemc_clang::ModuleInstance']]], + ['instanceportsignalmaptype_13',['instancePortSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#a794c738b78e4b35512ab5207e01e15a9',1,'systemc_clang::FindNetlist']]], + ['instanceportsignalpairtype_14',['instancePortSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a869c0d354a6d15d21e26a5bdb5762a53',1,'systemc_clang::FindNetlist']]], + ['instancesautomaptype_15',['instanceSautoMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a3bb8c2e365279b57547e6f711ba05589',1,'systemc_clang::EntryFunctionContainer']]], + ['instancesautopairtype_16',['instanceSautoPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#aef3e9b18fafe561ac3f335f0647e17cb',1,'systemc_clang::EntryFunctionContainer']]], + ['instancesuscfgmaptype_17',['instanceSusCFGMapType',['../classsystemc__clang_1_1EntryFunctionContainer.html#af7a42320d41c6c8f9db2fbb67faf8ff2',1,'systemc_clang::EntryFunctionContainer']]], + ['instancesuscfgpairtype_18',['instanceSusCFGPairType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a57713c7c1e7873222abfbd7d1c55f60f',1,'systemc_clang::EntryFunctionContainer']]], + ['interfacemaptype_19',['interfaceMapType',['../classsystemc__clang_1_1ModuleInstance.html#a68dce634743851dc3b8cacb4ab6ca055',1,'systemc_clang::ModuleInstance']]], + ['interfacepairtype_20',['interfacePairType',['../classsystemc__clang_1_1ModuleInstance.html#a086e947f3bc9aba652551f50cb152e83',1,'systemc_clang::ModuleInstance']]], + ['interfacetype_21',['interfaceType',['../classsystemc__clang_1_1FindTLMInterfaces.html#af83bb76e41e9a916768e8ccfe81afa8c',1,'systemc_clang::FindTLMInterfaces']]], ['iterator_5fcategory_22',['iterator_category',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#a2daf05f5672e47c000b8bb0468f2625c',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]] ]; diff --git a/search/typedefs_8.js b/search/typedefs_8.js index 2043ac87..1fc8c5ab 100644 --- a/search/typedefs_8.js +++ b/search/typedefs_8.js @@ -1,4 +1,4 @@ var searchData= [ - ['kvtype_0',['kvType',['../classsystemc__clang_1_1FindEvents.html#a81699cbf968ba7293c8c857787e6cf75',1,'systemc_clang::FindEvents::kvType'],['../classsystemc__clang_1_1FindGlobalEvents.html#af6160b19fad3fd8ea582755a2d98fe7b',1,'systemc_clang::FindGlobalEvents::kvType'],['../classsystemc__clang_1_1FindTLMInterfaces.html#af3ae5d086da23f9985633a6ea89cfc22',1,'systemc_clang::FindTLMInterfaces::kvType']]] + ['kvtype_0',['kvType',['../classsystemc__clang_1_1FindEvents.html#a7ebc0b39a87fed42ea436bd5a9858d66',1,'systemc_clang::FindEvents::kvType'],['../classsystemc__clang_1_1FindGlobalEvents.html#ae6c40b45685ce1b508ee58bbab2bae50',1,'systemc_clang::FindGlobalEvents::kvType'],['../classsystemc__clang_1_1FindTLMInterfaces.html#a6ba7a150371ece4c57ad87ca11d73df9',1,'systemc_clang::FindTLMInterfaces::kvType']]] ]; diff --git a/search/typedefs_9.js b/search/typedefs_9.js index 8cac3f95..04f3a8cd 100644 --- a/search/typedefs_9.js +++ b/search/typedefs_9.js @@ -1,14 +1,14 @@ var searchData= [ - ['memberdecltype_0',['MemberDeclType',['../classsc__ast__matchers_1_1PortMatcher.html#ae8f416e37b31a66f4fa78cda64cf1f02',1,'sc_ast_matchers::PortMatcher']]], + ['memberdecltype_0',['MemberDeclType',['../classsc__ast__matchers_1_1PortMatcher.html#aca313488f44ae275f923d9252ccb3a36',1,'sc_ast_matchers::PortMatcher']]], ['method_5fobject_5fmap_5ft_1',['method_object_map_t',['../namespacehnode.html#ab8cbafd372ebac64fcc36a9aad1fe45f',1,'hnode']]], ['moduleinitializertupletype_2',['ModuleInitializerTupleType',['../namespacesc__ast__matchers_1_1utils.html#a9eca54af25a4033101d4a4201501ff25',1,'sc_ast_matchers::utils']]], - ['moduleinstancemaptype_3',['moduleInstanceMapType',['../classsystemc__clang_1_1Model.html#adb14c5fc604752f5a1b5a26b58b3590b',1,'systemc_clang::Model']]], - ['moduleinstancepairtype_4',['moduleInstancePairType',['../classsystemc__clang_1_1Model.html#a5f9fe0bad369fa57dcce9957065e85fd',1,'systemc_clang::Model']]], - ['moduleinstancetuple_5',['ModuleInstanceTuple',['../classsc__ast__matchers_1_1InstanceMatcher.html#a2da868d9005b524c65f6dc808f6a6e2b',1,'sc_ast_matchers::InstanceMatcher']]], - ['modulemaptype_6',['ModuleMapType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#ac9eb5a346eddccc3bf5e4def371c4a00',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['modulemaptype_7',['moduleMapType',['../classsystemc__clang_1_1Model.html#a11e8e55332ce1b1d432d0836010514b9',1,'systemc_clang::Model']]], - ['modulepairtype_8',['ModulePairType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#adee6b44640fd0cdc83a9ea270c8c2a77',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['modulepairtype_9',['modulePairType',['../classsystemc__clang_1_1Model.html#a2d1a106632c336f2eac7f02aeb64f436',1,'systemc_clang::Model']]], - ['moduleprocesspairtype_10',['moduleProcessPairType',['../classsystemc__clang_1_1ModuleInstance.html#ae42d7c7159e4d1dff2496fc46799d540',1,'systemc_clang::ModuleInstance']]] + ['moduleinstancemaptype_3',['moduleInstanceMapType',['../classsystemc__clang_1_1Model.html#a722deae84ea98d7438385cf14b52ff10',1,'systemc_clang::Model']]], + ['moduleinstancepairtype_4',['moduleInstancePairType',['../classsystemc__clang_1_1Model.html#aed2577b4b869d52665a37bf5661ef45a',1,'systemc_clang::Model']]], + ['moduleinstancetuple_5',['ModuleInstanceTuple',['../classsc__ast__matchers_1_1InstanceMatcher.html#a3a61a9046b2109dff81ccb117b61b643',1,'sc_ast_matchers::InstanceMatcher']]], + ['modulemaptype_6',['ModuleMapType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#af7d03f28942c18770ea7cf5393d5fba4',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['modulemaptype_7',['moduleMapType',['../classsystemc__clang_1_1Model.html#a9e970af870b2b1cd011b3bbe17f7b554',1,'systemc_clang::Model']]], + ['modulepairtype_8',['ModulePairType',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#adfeab80da6957070c45ad2221ff3e3d9',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['modulepairtype_9',['modulePairType',['../classsystemc__clang_1_1Model.html#a06c353c03e8991dc5eac7e83f43f33b8',1,'systemc_clang::Model']]], + ['moduleprocesspairtype_10',['moduleProcessPairType',['../classsystemc__clang_1_1ModuleInstance.html#aad9e54c7b4298fff8f2354084c60f0cb',1,'systemc_clang::ModuleInstance']]] ]; diff --git a/search/typedefs_a.js b/search/typedefs_a.js index 72719d95..8bd52006 100644 --- a/search/typedefs_a.js +++ b/search/typedefs_a.js @@ -1,9 +1,9 @@ var searchData= [ - ['nodeidvector_0',['nodeIDVector',['../classsystemc__clang_1_1Graph.html#a54442bd306bba787fcca6446837c7d60',1,'systemc_clang::Graph']]], - ['nodemaptype_1',['nodeMapType',['../classsystemc__clang_1_1Graph.html#a7af5211f31c0ef1ee48d0ab23df30caa',1,'systemc_clang::Graph']]], - ['nodepairtype_2',['nodePairType',['../classsystemc__clang_1_1Graph.html#a39f2c14c0eb9e331c896313eb9bb7a14',1,'systemc_clang::Graph']]], - ['nodevector_3',['nodeVector',['../classsystemc__clang_1_1Graph.html#a3b0352fd1dd6b94efbefa9a7371f8d96',1,'systemc_clang::Graph']]], + ['nodeidvector_0',['nodeIDVector',['../classsystemc__clang_1_1Graph.html#a6c1d99d38cb564e22910d330ad69955f',1,'systemc_clang::Graph']]], + ['nodemaptype_1',['nodeMapType',['../classsystemc__clang_1_1Graph.html#a69d0bf22711f108901a793976d742c66',1,'systemc_clang::Graph']]], + ['nodepairtype_2',['nodePairType',['../classsystemc__clang_1_1Graph.html#acb9f4ba292b967e0ef1b2c2457c41392',1,'systemc_clang::Graph']]], + ['nodevector_3',['nodeVector',['../classsystemc__clang_1_1Graph.html#a5323e3b5d070d538723efb3da07e2dac',1,'systemc_clang::Graph']]], ['notifycalllisttype_4',['NotifyCallListType',['../classsystemc__clang_1_1FindNotify.html#a1a418b4ae6a30abef0df15567d87db73',1,'systemc_clang::FindNotify']]], - ['notifycontainerlisttype_5',['notifyContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#a09f02d859a285ccdc726dd897fae866f',1,'systemc_clang::EntryFunctionContainer']]] + ['notifycontainerlisttype_5',['notifyContainerListType',['../classsystemc__clang_1_1EntryFunctionContainer.html#ac54d2cf396c1772ba2a521f35bcb724f',1,'systemc_clang::EntryFunctionContainer']]] ]; diff --git a/search/typedefs_c.js b/search/typedefs_c.js index 83b7a7fc..12425c6f 100644 --- a/search/typedefs_c.js +++ b/search/typedefs_c.js @@ -1,14 +1,14 @@ var searchData= [ ['pointer_0',['pointer',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ae69795e7ed3813f062e1bc83f6b61c3f',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['portbindingmaptype_1',['portBindingMapType',['../classsystemc__clang_1_1ModuleInstance.html#a8fc3d86b879f530c74b1de52d7fe1e65',1,'systemc_clang::ModuleInstance']]], - ['portbindingpairtype_2',['portBindingPairType',['../classsystemc__clang_1_1ModuleInstance.html#a5c80f5683875180d6854faa1c7c958da',1,'systemc_clang::ModuleInstance']]], - ['portmaptype_3',['portMapType',['../classsystemc__clang_1_1ModuleInstance.html#ae5e4d7d956225b28aac30182a5649f84',1,'systemc_clang::ModuleInstance']]], - ['portsignalmaptype_4',['portSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#a9f9a1a9b49e67f0d8861887ccbdabc01',1,'systemc_clang::FindNetlist::portSignalMapType'],['../classsystemc__clang_1_1ModuleInstance.html#ab9826602b77bf4d1b56a2f9dac9fff52',1,'systemc_clang::ModuleInstance::portSignalMapType']]], - ['portsignalpairtype_5',['portSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a149fe83ade7e3468bc49ae42dee28a46',1,'systemc_clang::FindNetlist::portSignalPairType'],['../classsystemc__clang_1_1ModuleInstance.html#a225eb7d03ade18036aa5700538476541',1,'systemc_clang::ModuleInstance::portSignalPairType']]], - ['porttype_6',['PortType',['../classsystemc__clang_1_1ModuleInstance.html#a2c28ab5fe658b9833300e3d979912f42',1,'systemc_clang::ModuleInstance']]], - ['processmaptype_7',['processMapType',['../classsystemc__clang_1_1ModuleInstance.html#aa971eba18c75ee5a38fcfc9739bbf9a5',1,'systemc_clang::ModuleInstance']]], - ['processpairtype_8',['processPairType',['../classsystemc__clang_1_1ModuleInstance.html#a4674bdaba29e097bef92dccd45888fdd',1,'systemc_clang::ModuleInstance']]], - ['processwaiteventmaptype_9',['processWaitEventMapType',['../classsystemc__clang_1_1FindWait.html#aace39df59ecd7e78771ebc29d6a606c5',1,'systemc_clang::FindWait']]], - ['processwaiteventpairtype_10',['processWaitEventPairType',['../classsystemc__clang_1_1FindWait.html#a0d237f561a7789c14d5d1774a6d8e000',1,'systemc_clang::FindWait']]] + ['portbindingmaptype_1',['portBindingMapType',['../classsystemc__clang_1_1ModuleInstance.html#a4c30c001a2431381a61304ac0e605185',1,'systemc_clang::ModuleInstance']]], + ['portbindingpairtype_2',['portBindingPairType',['../classsystemc__clang_1_1ModuleInstance.html#ac36ff783b44189fe9f100261d4cfd177',1,'systemc_clang::ModuleInstance']]], + ['portmaptype_3',['portMapType',['../classsystemc__clang_1_1ModuleInstance.html#a43065af1cf3dbca858fcea8b5460242a',1,'systemc_clang::ModuleInstance']]], + ['portsignalmaptype_4',['portSignalMapType',['../classsystemc__clang_1_1FindNetlist.html#af163dcf711de95ddaee0fa91bab5ae13',1,'systemc_clang::FindNetlist::portSignalMapType'],['../classsystemc__clang_1_1ModuleInstance.html#a7475879f3eda39cc0b0ed97e9e9d0f09',1,'systemc_clang::ModuleInstance::portSignalMapType']]], + ['portsignalpairtype_5',['portSignalPairType',['../classsystemc__clang_1_1FindNetlist.html#a470e9d798ad64a2c09b3c9ed5e668536',1,'systemc_clang::FindNetlist::portSignalPairType'],['../classsystemc__clang_1_1ModuleInstance.html#a0f307a74fcdec068b15740454fd9f95c',1,'systemc_clang::ModuleInstance::portSignalPairType']]], + ['porttype_6',['PortType',['../classsystemc__clang_1_1ModuleInstance.html#a6c8d033409a538c0496ddf043aabd7a6',1,'systemc_clang::ModuleInstance']]], + ['processmaptype_7',['processMapType',['../classsystemc__clang_1_1ModuleInstance.html#ab80cde7544ec4f1ad5833f56c37d2002',1,'systemc_clang::ModuleInstance']]], + ['processpairtype_8',['processPairType',['../classsystemc__clang_1_1ModuleInstance.html#a1e9c3a161b84487e423f9bc12e1d9160',1,'systemc_clang::ModuleInstance']]], + ['processwaiteventmaptype_9',['processWaitEventMapType',['../classsystemc__clang_1_1FindWait.html#a6dd8a7fda6a5cea128ee24ab80e15acf',1,'systemc_clang::FindWait']]], + ['processwaiteventpairtype_10',['processWaitEventPairType',['../classsystemc__clang_1_1FindWait.html#a7db454c7a61e95d0e839424a46d91473',1,'systemc_clang::FindWait']]] ]; diff --git a/search/typedefs_e.js b/search/typedefs_e.js index 3e5e5bc1..5c0d2e23 100644 --- a/search/typedefs_e.js +++ b/search/typedefs_e.js @@ -1,26 +1,26 @@ var searchData= [ - ['sensemaptype_0',['SenseMapType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a96b39bcbdce6458e57f9abf038d48a20',1,'sc_ast_matchers::SensitivityMatcher::SenseMapType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a4d69296f0e62e5aea47b39f1d8f96df8',1,'systemc_clang::EntryFunctionContainer::SenseMapType']]], - ['sensitivitypairtype_1',['SensitivityPairType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a4a1b0e3b68182be4384fe67f247b9555',1,'sc_ast_matchers::SensitivityMatcher::SensitivityPairType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#ae93e3b03da5f801347140c88ce04ab1a',1,'systemc_clang::EntryFunctionContainer::SensitivityPairType']]], - ['sensitivitytupletype_2',['SensitivityTupleType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#aa8ebc0b138a8399c58803500501b93ef',1,'sc_ast_matchers::SensitivityMatcher::SensitivityTupleType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a30aa93b62c9597764e5bb37c7c5ce50d',1,'systemc_clang::EntryFunctionContainer::SensitivityTupleType']]], - ['signalmaptype_3',['signalMapType',['../classsystemc__clang_1_1ModuleInstance.html#adad65a1c03e742c7c8eb6d0bd1a57271',1,'systemc_clang::ModuleInstance']]], - ['signalpairtype_4',['signalPairType',['../classsystemc__clang_1_1ModuleInstance.html#a10db7a1b668cf6e6d295ecd7c1b680bd',1,'systemc_clang::ModuleInstance']]], - ['simulationtimemaptype_5',['simulationTimeMapType',['../classsystemc__clang_1_1FindSimTime.html#a825b0d05702c9257ea2e4b7abf29b1a6',1,'systemc_clang::FindSimTime']]], - ['simulationtimepairtype_6',['simulationTimePairType',['../classsystemc__clang_1_1FindSimTime.html#a30ac43e1375ecb5fae8c458752de70b4',1,'systemc_clang::FindSimTime']]], + ['sensemaptype_0',['SenseMapType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a2d7c081f7ccfac2b94d3835e8430c539',1,'sc_ast_matchers::SensitivityMatcher::SenseMapType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a22166023ddb73f6374d0f3afd8f715ba',1,'systemc_clang::EntryFunctionContainer::SenseMapType']]], + ['sensitivitypairtype_1',['SensitivityPairType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a093c59a4389a3dd64769a84ad21c6560',1,'sc_ast_matchers::SensitivityMatcher::SensitivityPairType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#af8c2348b755b6f0f383c3bfb6f4289f8',1,'systemc_clang::EntryFunctionContainer::SensitivityPairType']]], + ['sensitivitytupletype_2',['SensitivityTupleType',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a4b984351dd9645c4e619f451fde8b3b5',1,'sc_ast_matchers::SensitivityMatcher::SensitivityTupleType'],['../classsystemc__clang_1_1EntryFunctionContainer.html#a484374650efa186879d453124b53c3ba',1,'systemc_clang::EntryFunctionContainer::SensitivityTupleType']]], + ['signalmaptype_3',['signalMapType',['../classsystemc__clang_1_1ModuleInstance.html#a6fe18eac8e16aea2b3eb5212167629aa',1,'systemc_clang::ModuleInstance']]], + ['signalpairtype_4',['signalPairType',['../classsystemc__clang_1_1ModuleInstance.html#aa196bcf515ea11c3965547fad63f3b0a',1,'systemc_clang::ModuleInstance']]], + ['simulationtimemaptype_5',['simulationTimeMapType',['../classsystemc__clang_1_1FindSimTime.html#a072502a3bb5b3fb6664e40e701ef0822',1,'systemc_clang::FindSimTime']]], + ['simulationtimepairtype_6',['simulationTimePairType',['../classsystemc__clang_1_1FindSimTime.html#a56c130dd43ecf80fe9a8c1d1f01a510e',1,'systemc_clang::FindSimTime']]], ['splitcfgblockptrvector_7',['SplitCFGBlockPtrVector',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a4030d2ebdfac304694b56f22f600bf0b',1,'systemc_clang::SplitCFGPathInfo']]], ['splitcfgpath_8',['SplitCFGPath',['../classsystemc__clang_1_1SplitCFG.html#a80f81d75f8262a9f505c26ad49ee866a',1,'systemc_clang::SplitCFG']]], ['splitcfgpathpair_9',['SplitCFGPathPair',['../classsystemc__clang_1_1SplitCFG.html#a5b4d001f2c98d23cf52fe590bde600db',1,'systemc_clang::SplitCFG']]], - ['statecommoncodeblockmaptype_10',['stateCommonCodeBlockMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#aae6a731fdb21d67d95137f94512a5405',1,'systemc_clang::SuspensionAutomata']]], - ['statecommoncodeblockpairtype_11',['stateCommonCodeBlockPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a2a99d042221a40e8516a9dfe8db991b0',1,'systemc_clang::SuspensionAutomata']]], - ['statetransitionsmaptype_12',['stateTransitionsMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a878dca3e21d6fbd0d71240b2810b4621',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['statetransitionspairtype_13',['stateTransitionsPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aaee6cb4d3d4f63c2f860b0b83022bf78',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['statecommoncodeblockmaptype_10',['stateCommonCodeBlockMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#af94164bb81e04b505c9d3616c774875b',1,'systemc_clang::SuspensionAutomata']]], + ['statecommoncodeblockpairtype_11',['stateCommonCodeBlockPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a52eda270e4ef3fbc148be12c715f5162',1,'systemc_clang::SuspensionAutomata']]], + ['statetransitionsmaptype_12',['stateTransitionsMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a653855b128b34b33661237ac65bdf7b0',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['statetransitionspairtype_13',['stateTransitionsPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a961f09d93dafa7efd7d7f08848f81fbb',1,'systemc_clang::GlobalSuspensionAutomata']]], ['succ_5fiterator_14',['succ_iterator',['../classsystemc__clang_1_1SplitCFGBlock.html#aa4bb354ee3297f3236f6cb523fbc9b3e',1,'systemc_clang::SplitCFGBlock']]], ['succ_5fiterator_5frange_15',['succ_iterator_range',['../classsystemc__clang_1_1SplitCFGBlock.html#a70ed4a414aab22df96b461ee5f73a2b2',1,'systemc_clang::SplitCFGBlock']]], - ['suscfgblockgpumacromaptype_16',['susCFGBlockGPUMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a7e094423fe02ec74ca351dc96080b727',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['suscfgblockgpumacropairtype_17',['susCFGBlockGPUMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a56876eccc173ec3bb55c2f9fd44624e7',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['suscfgstatemaptype_18',['susCFGStateMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#af04f9c5b80049692098b3be1bc279797',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgstatepairtype_19',['susCFGStatePairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a2cd80713088bf072fc588d70f07b36f0',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgsuccidmaptype_20',['susCFGSuccIDMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#a875e6dbf8445290e70d50ba0127b6e58',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgsuccidpairtype_21',['susCFGSuccIDPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a17b2c1b494ee49c87c2356af922c4457',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgvectortype_22',['susCFGVectorType',['../classsystemc__clang_1_1SuspensionAutomata.html#a28e552a993a2ea6ba41eec08e2e903b2',1,'systemc_clang::SuspensionAutomata']]] + ['suscfgblockgpumacromaptype_16',['susCFGBlockGPUMacroMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8ca0316fd4fda238606b553599b8dc30',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['suscfgblockgpumacropairtype_17',['susCFGBlockGPUMacroPairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a2f9b7871067b03ea5547a17276215c19',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['suscfgstatemaptype_18',['susCFGStateMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#a8f0411d5792411b0880a2f09518f2f60',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgstatepairtype_19',['susCFGStatePairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a708834a26344c855135794d101fbdefd',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgsuccidmaptype_20',['susCFGSuccIDMapType',['../classsystemc__clang_1_1SuspensionAutomata.html#a2a59fa438269b95d5ee2325227acd9de',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgsuccidpairtype_21',['susCFGSuccIDPairType',['../classsystemc__clang_1_1SuspensionAutomata.html#a4b8211c2e8ecb8bbd2c741f93139aeb8',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgvectortype_22',['susCFGVectorType',['../classsystemc__clang_1_1SuspensionAutomata.html#a6fa9a0e011758e6d0dc20b438a97f25c',1,'systemc_clang::SuspensionAutomata']]] ]; diff --git a/search/typedefs_f.js b/search/typedefs_f.js index 6babf6ca..350d0e69 100644 --- a/search/typedefs_f.js +++ b/search/typedefs_f.js @@ -1,13 +1,14 @@ var searchData= [ - ['templatetypeptr_0',['TemplateTypePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a36fe33fbaeabb402ed67ab84bfb284c0',1,'systemc_clang::FindTemplateTypes']]], - ['timeadvancevectortype_1',['timeAdvanceVectorType',['../classsystemc__clang_1_1Edge.html#a4aa1a27ab14ccfe1b547fd9546926858',1,'systemc_clang::Edge']]], - ['timepairtype_2',['timePairType',['../classsystemc__clang_1_1Edge.html#ac7df506142cb6616af813b07f4d61689',1,'systemc_clang::Edge::timePairType'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad5b1dd2561dc533cb37ffa28c36c42e4',1,'systemc_clang::GlobalSuspensionAutomata::timePairType']]], - ['transitiontimemaptype_3',['transitionTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a63401a9884f8e9615b695b1dc9555b26',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['transitiontimepairtype_4',['transitionTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afc94bfa7f93a5a0fb01483dea38046c6',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['transitionvectortype_5',['transitionVectorType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a86977643d2ad2505b98f5fa2f412a1ed',1,'systemc_clang::GlobalSuspensionAutomata::transitionVectorType'],['../classsystemc__clang_1_1SuspensionAutomata.html#a37823cbaa98336114b95ee6f8258979f',1,'systemc_clang::SuspensionAutomata::transitionVectorType']]], - ['treedftptr_6',['TreeDFTPtr',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a29f6301c538df3c938c11369ff7019bc',1,'systemc_clang::Tree::const_dft_iterator::TreeDFTPtr'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#abd9bfb0afa194a14ea0a6030b0ac3531',1,'systemc_clang::Tree::dft_iterator::TreeDFTPtr']]], - ['treenodeptr_7',['TreeNodePtr',['../classsystemc__clang_1_1Tree.html#ae2699cb6a66a0af9debdc1a17d7403f3',1,'systemc_clang::Tree']]], - ['twonodepairtype_8',['twoNodePairType',['../classsystemc__clang_1_1Graph.html#a9c540d3b0c52a6759ad3c6e10752255d',1,'systemc_clang::Graph']]], - ['type_5fvector_5ft_9',['type_vector_t',['../classsystemc__clang_1_1FindTemplateTypes.html#a7aca95e84873d0dd891c2dc53c492cfd',1,'systemc_clang::FindTemplateTypes']]] + ['templatetypeptr_0',['TemplateTypePtr',['../classsystemc__clang_1_1FindTemplateTypes.html#a457745124c9f184d5ed7e205c755ecdb',1,'systemc_clang::FindTemplateTypes']]], + ['timeadvancevectortype_1',['timeAdvanceVectorType',['../classsystemc__clang_1_1Edge.html#a46ba19ec7122fdf12adab4e7c7bb9ab1',1,'systemc_clang::Edge']]], + ['timepairtype_2',['timePairType',['../classsystemc__clang_1_1Edge.html#ad04ecf3ab7011a8e5b429b3f4785dfa8',1,'systemc_clang::Edge::timePairType'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad7c43b89d1046ccf4ad2866c61a1d9cf',1,'systemc_clang::GlobalSuspensionAutomata::timePairType']]], + ['transitiontimemaptype_3',['transitionTimeMapType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5e1b142b0478bd0274dac25d2ddf5803',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['transitiontimepairtype_4',['transitionTimePairType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a038a060a9099cf57e95dc4ae6af7be04',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['transitionvectortype_5',['transitionVectorType',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a8937e8d819db81449b75da053f8905da',1,'systemc_clang::GlobalSuspensionAutomata::transitionVectorType'],['../classsystemc__clang_1_1SuspensionAutomata.html#a9cbc1cd5b82b9444b4f6f9fb0458de0e',1,'systemc_clang::SuspensionAutomata::transitionVectorType']]], + ['treedftptr_6',['TreeDFTPtr',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a30026aaea8aac8987d9650322413a916',1,'systemc_clang::Tree::const_dft_iterator::TreeDFTPtr'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#acbd0d55cdd7da6d772e9b16fbf238653',1,'systemc_clang::Tree::dft_iterator::TreeDFTPtr']]], + ['treenodeptr_7',['TreeNodePtr',['../classsystemc__clang_1_1Tree.html#abacfbb0b1213f0a366b23e23b5e498ca',1,'systemc_clang::Tree']]], + ['twonodepairtype_8',['twoNodePairType',['../classsystemc__clang_1_1Graph.html#ae46c0b0e254d4a964de92182094bc497',1,'systemc_clang::Graph']]], + ['type_5fvector_5ft_9',['type_vector_t',['../classsystemc__clang_1_1FindTemplateTypes.html#a9305c1a24eca28608e40f47aedf5efa5',1,'systemc_clang::FindTemplateTypes']]], + ['types_10',['types',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a922cc98d175c4ca327421f745604fc07',1,'parselib::transforms::typedef_expansion::TypedefExpansion']]] ]; diff --git a/search/variables_0.js b/search/variables_0.js index fc9dce7d..aaae684b 100644 --- a/search/variables_0.js +++ b/search/variables_0.js @@ -1,109 +1,110 @@ var searchData= [ - ['_5f_5fcurrent_5ffunction_0',['__current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a270a67c8a5d0a3d26fffce93de41cce0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_function'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a4768999806511a6302b336b44421c42d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_function'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a377cd7f6006cc90a3e5492e98f676f56',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_function']]], - ['_5f_5fcurrent_5ffunctions_1',['__current_functions',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0a2d5223f5bdc2d57ca44f9be2047e24',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fcurrent_5ffunction_0',['__current_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a270a67c8a5d0a3d26fffce93de41cce0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_function'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a78f7519760434f025b8995eef49beb78',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_function'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a4768999806511a6302b336b44421c42d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_function'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a377cd7f6006cc90a3e5492e98f676f56',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_function']]], + ['_5f_5fcurrent_5ffunctions_1',['__current_functions',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ab74d52142a91a6479279b0295bb33de4',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], ['_5f_5fcurrent_5fmodule_2',['__current_module',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a9e06fa771d57818d746bf49e2f617938',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_module'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a245fa4047f659f25a2193998d4591078',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_module'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae97b5052f026e04b2d734ea2d86c0dfb',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_module']]], ['_5f_5fcurrent_5fmodule_5fscope_5fvars_3',['__current_module_scope_vars',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a76a8bc899dd0f872ad5ec9b83870fd00',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], ['_5f_5fcurrent_5fmodule_5fsense_5flist_4',['__current_module_sense_list',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a0b580bcad51a3e028900ce899cda9e20',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fcurrent_5fprocess_5',['__current_process',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aafee103f81f578691a69749a3516c494',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_process'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#af2f6b47a868f03793f1a96a32695f16d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_process'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a91194088f604ba9210f1154ae6cf3c92',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process']]], - ['_5f_5fcurrent_5fscope_5ftype_6',['__current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8eb98bc068e1e69145d94590342ed82d',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['_5f_5fcurrent_5fthread_7',['__current_thread',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a03b7e23d2d4bb9de8209de907f014479',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aee07e11c19e77483f6cb325745e99359',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread']]], - ['_5f_5fdriven_5fsignals_8',['__driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aded86f330d294350adb5f43a7e83b421',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5ffile_5finput_9',['__file_input',['../namespacehcode2verilog.html#a6b4daf8c789c285d8b0355aea9422bd4',1,'hcode2verilog']]], - ['_5f_5ffunc_5fparam_5fstubs_10',['__func_param_stubs',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a008ae13ca9cf4ee3f9f4bd223d58384d',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fin_5ffunction_11',['__in_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aa1130a5d854aa90fca2aa5e77c9577d5',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['_5f_5fin_5fmodule_5fscope_12',['__in_module_scope',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7940dd7f50e8d67bf80965254b479de1',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5f_5fis_5fin_5ffunction_13',['__is_in_function',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#a627926a7f7cbfa201676aae396b55bc4',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], - ['_5f_5flocal_5foutput_5fids_14',['__local_output_ids',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad37b44b1f88630a956a02d045851912b',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['_5f_5fphantom_5fid_15',['__phantom_id',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ae44ddbd693fb4a7ed13900838d554eae',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['_5f_5fscope_5fstack_16',['__scope_stack',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a641c7122bd582dc9dc499decba5d0353',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['_5f_5fsense_5flist_5fproc_5fname_17',['__sense_list_proc_name',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a9631dade25c9cf855b4a5b4a6d1a471c',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], - ['_5fa_18',['_a',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5e1103b8eb9d8c7db7ff8e1f26c753c8',1,'systemc_clang::GlobalSuspensionAutomata::_a'],['../classsystemc__clang_1_1SuspensionAutomata.html#a663f244694c191ba60886a1d7ff1d69e',1,'systemc_clang::SuspensionAutomata::_a']]], - ['_5fadjedges_19',['_adjEdges',['../classsystemc__clang_1_1Graph.html#a4b709e07bf41b66ede6baad03d7b6045',1,'systemc_clang::Graph']]], - ['_5fadjlist_20',['_adjList',['../classsystemc__clang_1_1Graph.html#ab664bc6281dc4128bdb4f2841585f2a4',1,'systemc_clang::Graph']]], - ['_5fargs_21',['_args',['../classsystemc__clang_1_1NotifyContainer.html#a0e0f45623980f0a131338f6779808072',1,'systemc_clang::NotifyContainer::_args'],['../classsystemc__clang_1_1WaitContainer.html#ad4306c0b6861feb5ab14bee394db3d3a',1,'systemc_clang::WaitContainer::_args']]], - ['_5fastnode_22',['_astNode',['../classsystemc__clang_1_1NotifyContainer.html#ad71387555da5f3bc9c98121b8dbecea8',1,'systemc_clang::NotifyContainer::_astNode'],['../classsystemc__clang_1_1WaitContainer.html#a548cb03f1ad87b2d177606a1cb99299a',1,'systemc_clang::WaitContainer::_astNode']]], - ['_5fblock_23',['_block',['../classsystemc__clang_1_1SusCFG.html#a3682141568078686873931f366980623',1,'systemc_clang::SusCFG']]], - ['_5fblockidx_24',['_blockIdx',['../classsystemc__clang_1_1GPUMacro.html#a06f803a27fdc9e008f8cc1904400ec5c',1,'systemc_clang::GPUMacro']]], - ['_5fblockidy_25',['_blockIdy',['../classsystemc__clang_1_1GPUMacro.html#a4ca499a59b50cebf760f95c4220ef463',1,'systemc_clang::GPUMacro']]], - ['_5fblockidz_26',['_blockIdz',['../classsystemc__clang_1_1GPUMacro.html#a2b6b46b2957fbe97a815b437b3346f5b',1,'systemc_clang::GPUMacro']]], - ['_5fcfg_27',['_cfg',['../classsystemc__clang_1_1SuspensionAutomata.html#acaa091c3f5a5da97317e72edf6a9c3b9',1,'systemc_clang::SuspensionAutomata']]], - ['_5fchildblocklist_28',['_childBlockList',['../classsystemc__clang_1_1SusCFG.html#a8c586ab9a968beca5ed4afcc2d1fd796',1,'systemc_clang::SusCFG']]], - ['_5fcodeblockvector_29',['_codeBlockVector',['../classsystemc__clang_1_1Transition.html#a3144baa06f7eadb3d0242779761ef844',1,'systemc_clang::Transition']]], - ['_5fcommontimedpmap_30',['_commonTimeDPMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae81a2156319d9b225bb6ee85bee957b5',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fcputime_31',['_cpuTime',['../classsystemc__clang_1_1GPUMacro.html#a2575febfce15bdc3d8909cfb188fa680',1,'systemc_clang::GPUMacro']]], - ['_5fd_32',['_d',['../classsystemc__clang_1_1FindEntryFunctions.html#a9fd682ccbdb1781a4296ad41dadb7a65',1,'systemc_clang::FindEntryFunctions::_d'],['../classsystemc__clang_1_1SuspensionAutomata.html#a0b6737f9e665f2a3fb34612c226646c4',1,'systemc_clang::SuspensionAutomata::_d']]], - ['_5fedgeidvector_33',['_edgeIDVector',['../classsystemc__clang_1_1Graph.html#a4d7a95893a2a17af3272011da782d2d8',1,'systemc_clang::Graph']]], - ['_5fedgemap_34',['_edgeMap',['../classsystemc__clang_1_1Graph.html#aaf346483a16338109cfe22ae77b0aea7',1,'systemc_clang::Graph']]], - ['_5fedgevector_35',['_edgeVector',['../classsystemc__clang_1_1Graph.html#a2cf78aa48aae66f81e4acc6cbc4ef5e8',1,'systemc_clang::Graph']]], - ['_5fentryfunction_36',['_entryFunction',['../classsystemc__clang_1_1FindGPUMacro.html#a585f8511e9f89e8a2040b4fc3822e085',1,'systemc_clang::FindGPUMacro']]], - ['_5fentryfunctiongpumacromap_37',['_entryFunctionGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae47eb9e56122a81a612dd49c07b78d2c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fentrymethoddecl_38',['_entryMethodDecl',['../classsystemc__clang_1_1NotifyContainer.html#a880b3b35a4891b79c4480a6295b411c0',1,'systemc_clang::NotifyContainer::_entryMethodDecl'],['../classsystemc__clang_1_1WaitContainer.html#afca6258ebb75a011917d0ea446e74400',1,'systemc_clang::WaitContainer::_entryMethodDecl']]], - ['_5feventname_39',['_eventName',['../classsystemc__clang_1_1State.html#a1119f6704b5f0cbedc82150fbcda75ff',1,'systemc_clang::State']]], - ['_5feventnotificationtimemap_40',['_eventNotificationTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae3682ee2abc6d4ff164d1b6265807d75',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5ffinalstate_41',['_finalState',['../classsystemc__clang_1_1Transition.html#ad6d5fa8218ddc6aad6a5b16bdb8154e9',1,'systemc_clang::Transition']]], - ['_5fforstmtgpumacromap_42',['_forStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a0a3753815bf244ca9118488308efc72f',1,'systemc_clang::FindGPUMacro']]], - ['_5ffrom_43',['_from',['../classsystemc__clang_1_1Edge.html#aef197f91341fac47770628fa679fa4d6',1,'systemc_clang::Edge']]], - ['_5fglobalevents_44',['_globalEvents',['../classsystemc__clang_1_1FindGlobalEvents.html#af3ef958e39f096aa676e438c2141f099',1,'systemc_clang::FindGlobalEvents']]], - ['_5fglobalsauto_45',['_globalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1a92362fa42f934fe5a8f23f5e11d16',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fgpufit_46',['_gpuFit',['../classsystemc__clang_1_1GPUMacro.html#a9b1a0381f39e36104fad9f64658c8b1f',1,'systemc_clang::GPUMacro']]], - ['_5fgputime_47',['_gpuTime',['../classsystemc__clang_1_1GPUMacro.html#a16a787c0743b526b0f9fe0b3104369bb',1,'systemc_clang::GPUMacro']]], - ['_5fgsauto_48',['_gsauto',['../classTimeAnalysis.html#a5c8544a085d9507eefd6a2d57b539090',1,'TimeAnalysis']]], - ['_5fid_49',['_id',['../classsystemc__clang_1_1Node.html#a2b8af978a9b20db2bd4fe262362365f0',1,'systemc_clang::Node::_id'],['../classsystemc__clang_1_1Edge.html#a7e6c2f9e7a22ac6a2073a25c2a570972',1,'systemc_clang::Edge::_id']]], - ['_5finclassevents_50',['_inClassEvents',['../classsystemc__clang_1_1FindEvents.html#a85185bd515298b5b90848c749c72c869',1,'systemc_clang::FindEvents']]], - ['_5fincomingtransitionsmap_51',['_incomingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a14b11f0d94e08f0ecb4af0f7fb88b3e8',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fininterfaces_52',['_inInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#aa08968acff3477361e7d916494dbf04d',1,'systemc_clang::FindTLMInterfaces']]], - ['_5finitialstate_53',['_initialState',['../classsystemc__clang_1_1Transition.html#ac24073e2755cb4cb513903c0c895bb91',1,'systemc_clang::Transition']]], - ['_5finoutinterfaces_54',['_inoutInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a646b49d4c33474ccbaee8c220c82eab3',1,'systemc_clang::FindTLMInterfaces']]], - ['_5finstancefunctionsautomap_55',['_instanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa88e19635275dcf98ca87f34a18cd776',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5finstanceid_56',['_instanceId',['../classsystemc__clang_1_1Transition.html#af7abe4ff5c0ede8c79638a7dff168491',1,'systemc_clang::Transition']]], - ['_5finstancenum_57',['_instanceNum',['../classsystemc__clang_1_1FindGPUMacro.html#aa10739a2026c42b81edccb90f8387ce4',1,'systemc_clang::FindGPUMacro']]], - ['_5fisdelta_58',['_isDelta',['../classsystemc__clang_1_1State.html#a8e768289fed0c75abb45ded3aff1338b',1,'systemc_clang::State']]], - ['_5fisevent_59',['_isEvent',['../classsystemc__clang_1_1State.html#aaa9a268d6bfd13a99e26004493e4c341',1,'systemc_clang::State']]], - ['_5fisgpufit_60',['_isGPUFit',['../classsystemc__clang_1_1SusCFG.html#ae51d413c93bdcf4dd7180a6409ff95bf',1,'systemc_clang::SusCFG']]], - ['_5fisinitial_61',['_isInitial',['../classsystemc__clang_1_1State.html#a4b583ff3fff98b1491d594d88807704a',1,'systemc_clang::State']]], - ['_5fisparentblock_62',['_isParentBlock',['../classsystemc__clang_1_1SusCFG.html#a8c0c8a42d56d68426ac177195ab39cc8',1,'systemc_clang::SusCFG']]], - ['_5fistimed_63',['_isTimed',['../classsystemc__clang_1_1State.html#a864e511f166adc2dcad06254f8a7974b',1,'systemc_clang::State']]], - ['_5fiswaitblock_64',['_isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a45c3ae09d9153dfb09c907aba5907e19',1,'systemc_clang::SusCFG']]], - ['_5fllvm_5finstall_5fpath_65',['_llvm_install_path',['../classsystemc-clang_1_1SystemCClang.html#ab6cec37133b8a50cc5c66247b98162d3',1,'systemc-clang::SystemCClang']]], - ['_5fmoduleinstancemap_66',['_moduleInstanceMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a02578ca27be242f0eaf48fbe37e36254',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fname_67',['_name',['../classsystemc__clang_1_1WaitCalls.html#af156d83dff7a2f621a621d86a04f0fcf',1,'systemc_clang::WaitCalls']]], - ['_5fnedges_68',['_nEdges',['../classsystemc__clang_1_1Graph.html#acf09b64d745c09f15760a531faa322af',1,'systemc_clang::Graph']]], - ['_5fnnodes_69',['_nNodes',['../classsystemc__clang_1_1Graph.html#a71e0b7e63897c0885beb33a17af84bb1',1,'systemc_clang::Graph']]], - ['_5fnodeidvector_70',['_nodeIDVector',['../classsystemc__clang_1_1Graph.html#af13eb33fa96442fe8ccc096b8b082a91',1,'systemc_clang::Graph']]], - ['_5fnodemap_71',['_nodeMap',['../classsystemc__clang_1_1Graph.html#af67d8d291a91ab917db2251f5f47431c',1,'systemc_clang::Graph']]], - ['_5fnodevector_72',['_nodeVector',['../classsystemc__clang_1_1Graph.html#ae649078866f29edd91b3ceb323158e27',1,'systemc_clang::Graph']]], - ['_5fnotifycalls_73',['_notifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a30b698f732bc7c49b54294d479e3b637',1,'systemc_clang::EntryFunctionContainer::_notifyCalls'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad02212ec8e0d4ae9c80d9f8beb47150e',1,'systemc_clang::GlobalSuspensionAutomata::_notifyCalls']]], - ['_5fnumargs_74',['_numArgs',['../classsystemc__clang_1_1NotifyContainer.html#aaf7a37b2aafeaa938ad3ae40806721c6',1,'systemc_clang::NotifyContainer::_numArgs'],['../classsystemc__clang_1_1WaitContainer.html#affc609e18961b48f54d0059b220645b0',1,'systemc_clang::WaitContainer::_numArgs']]], - ['_5fos_75',['_os',['../classsystemc__clang_1_1FindGlobalEvents.html#a4a33d4ffeb02939957b01f4a051db3b2',1,'systemc_clang::FindGlobalEvents::_os'],['../classsystemc__clang_1_1FindTLMInterfaces.html#a7feb7b27cadca0cd0362552ea2ee4cc5',1,'systemc_clang::FindTLMInterfaces::_os'],['../classsystemc__clang_1_1FindGPUMacro.html#af80cd73149352603f357c75377e93c5f',1,'systemc_clang::FindGPUMacro::_os'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a07a0cdab13d25f006b411f16363f83dc',1,'systemc_clang::GlobalSuspensionAutomata::_os'],['../classsystemc__clang_1_1SuspensionAutomata.html#a194e22c3a542e7068dbc2e1e9def1283',1,'systemc_clang::SuspensionAutomata::_os']]], - ['_5foutgoingtransitionsmap_76',['_outgoingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab7727d723e440cce6492538fc4adbac3',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5foutinterfaces_77',['_outInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a25d27b3f394c691071aa3497c52cd9c7',1,'systemc_clang::FindTLMInterfaces']]], - ['_5fparentcfgblock_78',['_parentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a64f7852a9f61968fab89572d1ec1b269',1,'systemc_clang::SusCFG']]], - ['_5fparentsuscfgblock_79',['_parentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a107aa1307d8ef6b18dd22ab34183575a',1,'systemc_clang::SusCFG']]], - ['_5fpass_80',['_pass',['../classsystemc__clang_1_1FindNetlist.html#acfb41e8566e17999fabd9584f97edeb2',1,'systemc_clang::FindNetlist']]], - ['_5fpredblocks_81',['_predBlocks',['../classsystemc__clang_1_1SusCFG.html#a9643182c4775869b388162bec9a06125',1,'systemc_clang::SusCFG']]], - ['_5fpreds_82',['_preds',['../classsystemc__clang_1_1Node.html#a50deecec30b605b718d204a185e94d56',1,'systemc_clang::Node']]], - ['_5fstatecommoncodeblockmap_83',['_stateCommonCodeBlockMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a2dc51afaae37f0bc70d7bd9555a14cd0',1,'systemc_clang::SuspensionAutomata']]], - ['_5fsuccblocks_84',['_succBlocks',['../classsystemc__clang_1_1SusCFG.html#afa744bc952a2909ae76d0668edf20cc5',1,'systemc_clang::SusCFG']]], - ['_5fsuccs_85',['_succs',['../classsystemc__clang_1_1Node.html#a50ec07cd2bd6574c73e0e0f33c35c45d',1,'systemc_clang::Node']]], - ['_5fsusauto_86',['_susAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5b0c72653fe7a6b6ba9ab0ef9e4928de',1,'systemc_clang::EntryFunctionContainer']]], - ['_5fsuscfg_87',['_susCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#a29c9490333ae4bc776d1554aee25299e',1,'systemc_clang::EntryFunctionContainer']]], - ['_5fsuscfgblock_88',['_susCFGBlock',['../classsystemc__clang_1_1State.html#aa7e3da9b2f104c454fa113a2a43d6fb8',1,'systemc_clang::State']]], - ['_5fsuscfgblockgpumacromap_89',['_susCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afc72d8f6d8094cfa0076217c415f7ff3',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fsuscfgvector_90',['_susCFGVector',['../classsystemc__clang_1_1SuspensionAutomata.html#a27275596fa8f06631c49ee5bb7b6451b',1,'systemc_clang::SuspensionAutomata']]], - ['_5fsystemc_5fclang_5fbuild_5fdir_91',['_systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a1da35c77e30a2bcae44dfb5cc99f2f5b',1,'systemc-clang::SystemCClang']]], - ['_5fsystemc_5fpath_92',['_systemc_path',['../classsystemc-clang_1_1SystemCClang.html#afb45d9e3a5c5c5ac80c95a453df80884',1,'systemc-clang::SystemCClang']]], - ['_5fsystemcmodel_93',['_systemcModel',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af0896e0c2ac16d8ef73e97759235c09c',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fthreadidx_94',['_threadIdx',['../classsystemc__clang_1_1GPUMacro.html#aa11a64d7561d885020a41d1415d760d1',1,'systemc_clang::GPUMacro']]], - ['_5fthreadidy_95',['_threadIdy',['../classsystemc__clang_1_1GPUMacro.html#a1be3f3799006c7139cc3eac1e8d02fa8',1,'systemc_clang::GPUMacro']]], - ['_5fthreadidz_96',['_threadIdz',['../classsystemc__clang_1_1GPUMacro.html#aa0c70be95d461aff5063a21467906dc8',1,'systemc_clang::GPUMacro']]], - ['_5ftimeadvancevector_97',['_timeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a275236a8efc3e201d8b980fd0ec8b96b',1,'systemc_clang::Edge']]], - ['_5ftimeinns_98',['_timeInNS',['../classsystemc__clang_1_1State.html#afd087313ff6180a75f89ff5c73afec7e',1,'systemc_clang::State']]], - ['_5fto_99',['_to',['../classsystemc__clang_1_1Edge.html#aa668e70adba85721e85887dc47dfab8a',1,'systemc_clang::Edge']]], - ['_5ftransitiontimemap_100',['_transitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a75a3cb959657bf75967419d5bf9e7421',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5ftransitionvector_101',['_transitionVector',['../classsystemc__clang_1_1SuspensionAutomata.html#ade6ac88ba947cd76de77e64120f71acf',1,'systemc_clang::SuspensionAutomata']]], - ['_5fvisittransitionmap_102',['_visitTransitionMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1dd6e905aaeecd749e6f1258403e9dd',1,'systemc_clang::GlobalSuspensionAutomata']]], - ['_5fwaitcalls_103',['_waitCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a74bebabfa45c0882208ddd53289e942d',1,'systemc_clang::EntryFunctionContainer::_waitCalls'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6ca89c18a35d5437eb9dc4f086d0dd59',1,'systemc_clang::SuspensionAutomata::_waitCalls']]], - ['_5fwaitlist_104',['_waitList',['../classsystemc__clang_1_1WaitCalls.html#a594473e183e6738a56258c8428d55b2e',1,'systemc_clang::WaitCalls']]], - ['_5fwaitstmt_105',['_waitStmt',['../classsystemc__clang_1_1SusCFG.html#acc0768e947474bc4852c50ae4ec41d6b',1,'systemc_clang::SusCFG']]] + ['_5f_5fcurrent_5fprocess_5',['__current_process',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#aafee103f81f578691a69749a3516c494',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_process'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a4348e59fb86b7f81417013a94beedbd0',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_process'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#af2f6b47a868f03793f1a96a32695f16d',1,'parselib.transforms.function_info_pass.FunctionInfoPass2.__current_process'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a91194088f604ba9210f1154ae6cf3c92',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#adb320642862bb3f81a9b813796027cc8',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_process']]], + ['_5f_5fcurrent_5fscope_5ftype_6',['__current_scope_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1145aedec0dcd0f6d89651f807df5701',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['_5f_5fcurrent_5fthread_7',['__current_thread',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a03b7e23d2d4bb9de8209de907f014479',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread'],['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#afb7cc271be99505ecf8fb673b1bc2bc5',1,'parselib.transforms.function_info_pass.FunctionInfoPass.__current_thread'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#aee07e11c19e77483f6cb325745e99359',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#ae22e4b263a909ed21d7867c100cdcd9b',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.__current_thread']]], + ['_5f_5fdict_5f_5f_8',['__dict__',['../classparselib_1_1utils_1_1ContextManager.html#af3ed91f1212d599ad8dbd90b43e8d80e',1,'parselib::utils::ContextManager']]], + ['_5f_5fdriven_5fsignals_9',['__driven_signals',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#aded86f330d294350adb5f43a7e83b421',1,'parselib::transforms::function_param_marker::FunctionParamMarker']]], + ['_5f_5ffile_5finput_10',['__file_input',['../namespacehcode2verilog.html#a6b4daf8c789c285d8b0355aea9422bd4',1,'hcode2verilog']]], + ['_5f_5ffunc_5fparam_5fstubs_11',['__func_param_stubs',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a008ae13ca9cf4ee3f9f4bd223d58384d',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fin_5ffunction_12',['__in_function',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#adab5f8d336df61a3733363bcb8c9ff58',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['_5f_5fin_5fmodule_5fscope_13',['__in_module_scope',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a7940dd7f50e8d67bf80965254b479de1',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5f_5fis_5fin_5ffunction_14',['__is_in_function',['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ade078eccc6734962eaca5878700687d3',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__is_in_function'],['../classparselib_1_1transforms_1_1function__param__marker_1_1FunctionParamMarker.html#ac56f63181bc27e7074fb9ad8e1c90f99',1,'parselib.transforms.function_param_marker.FunctionParamMarker.__is_in_function']]], + ['_5f_5flocal_5foutput_5fids_15',['__local_output_ids',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad37b44b1f88630a956a02d045851912b',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['_5f_5fphantom_5fid_16',['__phantom_id',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#ae44ddbd693fb4a7ed13900838d554eae',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['_5f_5fscope_5fstack_17',['__scope_stack',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a641c7122bd582dc9dc499decba5d0353',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['_5f_5fsense_5flist_5fproc_5fname_18',['__sense_list_proc_name',['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a9631dade25c9cf855b4a5b4a6d1a471c',1,'parselib::transforms::function_transformation_pass::FunctionTransformationPass']]], + ['_5fa_19',['_a',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a5e1103b8eb9d8c7db7ff8e1f26c753c8',1,'systemc_clang::GlobalSuspensionAutomata::_a'],['../classsystemc__clang_1_1SuspensionAutomata.html#a663f244694c191ba60886a1d7ff1d69e',1,'systemc_clang::SuspensionAutomata::_a']]], + ['_5fadjedges_20',['_adjEdges',['../classsystemc__clang_1_1Graph.html#a4b709e07bf41b66ede6baad03d7b6045',1,'systemc_clang::Graph']]], + ['_5fadjlist_21',['_adjList',['../classsystemc__clang_1_1Graph.html#ab664bc6281dc4128bdb4f2841585f2a4',1,'systemc_clang::Graph']]], + ['_5fargs_22',['_args',['../classsystemc__clang_1_1NotifyContainer.html#a0e0f45623980f0a131338f6779808072',1,'systemc_clang::NotifyContainer::_args'],['../classsystemc__clang_1_1WaitContainer.html#ad4306c0b6861feb5ab14bee394db3d3a',1,'systemc_clang::WaitContainer::_args']]], + ['_5fastnode_23',['_astNode',['../classsystemc__clang_1_1NotifyContainer.html#ad71387555da5f3bc9c98121b8dbecea8',1,'systemc_clang::NotifyContainer::_astNode'],['../classsystemc__clang_1_1WaitContainer.html#a548cb03f1ad87b2d177606a1cb99299a',1,'systemc_clang::WaitContainer::_astNode']]], + ['_5fblock_24',['_block',['../classsystemc__clang_1_1SusCFG.html#a3682141568078686873931f366980623',1,'systemc_clang::SusCFG']]], + ['_5fblockidx_25',['_blockIdx',['../classsystemc__clang_1_1GPUMacro.html#a06f803a27fdc9e008f8cc1904400ec5c',1,'systemc_clang::GPUMacro']]], + ['_5fblockidy_26',['_blockIdy',['../classsystemc__clang_1_1GPUMacro.html#a4ca499a59b50cebf760f95c4220ef463',1,'systemc_clang::GPUMacro']]], + ['_5fblockidz_27',['_blockIdz',['../classsystemc__clang_1_1GPUMacro.html#a2b6b46b2957fbe97a815b437b3346f5b',1,'systemc_clang::GPUMacro']]], + ['_5fcfg_28',['_cfg',['../classsystemc__clang_1_1SuspensionAutomata.html#acaa091c3f5a5da97317e72edf6a9c3b9',1,'systemc_clang::SuspensionAutomata']]], + ['_5fchildblocklist_29',['_childBlockList',['../classsystemc__clang_1_1SusCFG.html#a8c586ab9a968beca5ed4afcc2d1fd796',1,'systemc_clang::SusCFG']]], + ['_5fcodeblockvector_30',['_codeBlockVector',['../classsystemc__clang_1_1Transition.html#a3144baa06f7eadb3d0242779761ef844',1,'systemc_clang::Transition']]], + ['_5fcommontimedpmap_31',['_commonTimeDPMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae81a2156319d9b225bb6ee85bee957b5',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fcputime_32',['_cpuTime',['../classsystemc__clang_1_1GPUMacro.html#a2575febfce15bdc3d8909cfb188fa680',1,'systemc_clang::GPUMacro']]], + ['_5fd_33',['_d',['../classsystemc__clang_1_1FindEntryFunctions.html#a9fd682ccbdb1781a4296ad41dadb7a65',1,'systemc_clang::FindEntryFunctions::_d'],['../classsystemc__clang_1_1SuspensionAutomata.html#a0b6737f9e665f2a3fb34612c226646c4',1,'systemc_clang::SuspensionAutomata::_d']]], + ['_5fedgeidvector_34',['_edgeIDVector',['../classsystemc__clang_1_1Graph.html#a4d7a95893a2a17af3272011da782d2d8',1,'systemc_clang::Graph']]], + ['_5fedgemap_35',['_edgeMap',['../classsystemc__clang_1_1Graph.html#aaf346483a16338109cfe22ae77b0aea7',1,'systemc_clang::Graph']]], + ['_5fedgevector_36',['_edgeVector',['../classsystemc__clang_1_1Graph.html#a2cf78aa48aae66f81e4acc6cbc4ef5e8',1,'systemc_clang::Graph']]], + ['_5fentryfunction_37',['_entryFunction',['../classsystemc__clang_1_1FindGPUMacro.html#a585f8511e9f89e8a2040b4fc3822e085',1,'systemc_clang::FindGPUMacro']]], + ['_5fentryfunctiongpumacromap_38',['_entryFunctionGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae47eb9e56122a81a612dd49c07b78d2c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fentrymethoddecl_39',['_entryMethodDecl',['../classsystemc__clang_1_1NotifyContainer.html#a880b3b35a4891b79c4480a6295b411c0',1,'systemc_clang::NotifyContainer::_entryMethodDecl'],['../classsystemc__clang_1_1WaitContainer.html#afca6258ebb75a011917d0ea446e74400',1,'systemc_clang::WaitContainer::_entryMethodDecl']]], + ['_5feventname_40',['_eventName',['../classsystemc__clang_1_1State.html#a1119f6704b5f0cbedc82150fbcda75ff',1,'systemc_clang::State']]], + ['_5feventnotificationtimemap_41',['_eventNotificationTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae3682ee2abc6d4ff164d1b6265807d75',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5ffinalstate_42',['_finalState',['../classsystemc__clang_1_1Transition.html#ad6d5fa8218ddc6aad6a5b16bdb8154e9',1,'systemc_clang::Transition']]], + ['_5fforstmtgpumacromap_43',['_forStmtGPUMacroMap',['../classsystemc__clang_1_1FindGPUMacro.html#a0a3753815bf244ca9118488308efc72f',1,'systemc_clang::FindGPUMacro']]], + ['_5ffrom_44',['_from',['../classsystemc__clang_1_1Edge.html#aef197f91341fac47770628fa679fa4d6',1,'systemc_clang::Edge']]], + ['_5fglobalevents_45',['_globalEvents',['../classsystemc__clang_1_1FindGlobalEvents.html#af3ef958e39f096aa676e438c2141f099',1,'systemc_clang::FindGlobalEvents']]], + ['_5fglobalsauto_46',['_globalSauto',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1a92362fa42f934fe5a8f23f5e11d16',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fgpufit_47',['_gpuFit',['../classsystemc__clang_1_1GPUMacro.html#a9b1a0381f39e36104fad9f64658c8b1f',1,'systemc_clang::GPUMacro']]], + ['_5fgputime_48',['_gpuTime',['../classsystemc__clang_1_1GPUMacro.html#a16a787c0743b526b0f9fe0b3104369bb',1,'systemc_clang::GPUMacro']]], + ['_5fgsauto_49',['_gsauto',['../classTimeAnalysis.html#a5c8544a085d9507eefd6a2d57b539090',1,'TimeAnalysis']]], + ['_5fid_50',['_id',['../classsystemc__clang_1_1Node.html#a2b8af978a9b20db2bd4fe262362365f0',1,'systemc_clang::Node::_id'],['../classsystemc__clang_1_1Edge.html#a7e6c2f9e7a22ac6a2073a25c2a570972',1,'systemc_clang::Edge::_id']]], + ['_5finclassevents_51',['_inClassEvents',['../classsystemc__clang_1_1FindEvents.html#a85185bd515298b5b90848c749c72c869',1,'systemc_clang::FindEvents']]], + ['_5fincomingtransitionsmap_52',['_incomingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a14b11f0d94e08f0ecb4af0f7fb88b3e8',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fininterfaces_53',['_inInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#aa08968acff3477361e7d916494dbf04d',1,'systemc_clang::FindTLMInterfaces']]], + ['_5finitialstate_54',['_initialState',['../classsystemc__clang_1_1Transition.html#ac24073e2755cb4cb513903c0c895bb91',1,'systemc_clang::Transition']]], + ['_5finoutinterfaces_55',['_inoutInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a646b49d4c33474ccbaee8c220c82eab3',1,'systemc_clang::FindTLMInterfaces']]], + ['_5finstancefunctionsautomap_56',['_instanceFunctionSautoMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#aa88e19635275dcf98ca87f34a18cd776',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5finstanceid_57',['_instanceId',['../classsystemc__clang_1_1Transition.html#af7abe4ff5c0ede8c79638a7dff168491',1,'systemc_clang::Transition']]], + ['_5finstancenum_58',['_instanceNum',['../classsystemc__clang_1_1FindGPUMacro.html#aa10739a2026c42b81edccb90f8387ce4',1,'systemc_clang::FindGPUMacro']]], + ['_5fisdelta_59',['_isDelta',['../classsystemc__clang_1_1State.html#a8e768289fed0c75abb45ded3aff1338b',1,'systemc_clang::State']]], + ['_5fisevent_60',['_isEvent',['../classsystemc__clang_1_1State.html#aaa9a268d6bfd13a99e26004493e4c341',1,'systemc_clang::State']]], + ['_5fisgpufit_61',['_isGPUFit',['../classsystemc__clang_1_1SusCFG.html#ae51d413c93bdcf4dd7180a6409ff95bf',1,'systemc_clang::SusCFG']]], + ['_5fisinitial_62',['_isInitial',['../classsystemc__clang_1_1State.html#a4b583ff3fff98b1491d594d88807704a',1,'systemc_clang::State']]], + ['_5fisparentblock_63',['_isParentBlock',['../classsystemc__clang_1_1SusCFG.html#a8c0c8a42d56d68426ac177195ab39cc8',1,'systemc_clang::SusCFG']]], + ['_5fistimed_64',['_isTimed',['../classsystemc__clang_1_1State.html#a864e511f166adc2dcad06254f8a7974b',1,'systemc_clang::State']]], + ['_5fiswaitblock_65',['_isWaitBlock',['../classsystemc__clang_1_1SusCFG.html#a45c3ae09d9153dfb09c907aba5907e19',1,'systemc_clang::SusCFG']]], + ['_5fllvm_5finstall_5fpath_66',['_llvm_install_path',['../classsystemc-clang_1_1SystemCClang.html#ab6cec37133b8a50cc5c66247b98162d3',1,'systemc-clang::SystemCClang']]], + ['_5fmoduleinstancemap_67',['_moduleInstanceMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a02578ca27be242f0eaf48fbe37e36254',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fname_68',['_name',['../classsystemc__clang_1_1WaitCalls.html#af156d83dff7a2f621a621d86a04f0fcf',1,'systemc_clang::WaitCalls']]], + ['_5fnedges_69',['_nEdges',['../classsystemc__clang_1_1Graph.html#acf09b64d745c09f15760a531faa322af',1,'systemc_clang::Graph']]], + ['_5fnnodes_70',['_nNodes',['../classsystemc__clang_1_1Graph.html#a71e0b7e63897c0885beb33a17af84bb1',1,'systemc_clang::Graph']]], + ['_5fnodeidvector_71',['_nodeIDVector',['../classsystemc__clang_1_1Graph.html#af13eb33fa96442fe8ccc096b8b082a91',1,'systemc_clang::Graph']]], + ['_5fnodemap_72',['_nodeMap',['../classsystemc__clang_1_1Graph.html#af67d8d291a91ab917db2251f5f47431c',1,'systemc_clang::Graph']]], + ['_5fnodevector_73',['_nodeVector',['../classsystemc__clang_1_1Graph.html#ae649078866f29edd91b3ceb323158e27',1,'systemc_clang::Graph']]], + ['_5fnotifycalls_74',['_notifyCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a30b698f732bc7c49b54294d479e3b637',1,'systemc_clang::EntryFunctionContainer::_notifyCalls'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ad02212ec8e0d4ae9c80d9f8beb47150e',1,'systemc_clang::GlobalSuspensionAutomata::_notifyCalls']]], + ['_5fnumargs_75',['_numArgs',['../classsystemc__clang_1_1NotifyContainer.html#aaf7a37b2aafeaa938ad3ae40806721c6',1,'systemc_clang::NotifyContainer::_numArgs'],['../classsystemc__clang_1_1WaitContainer.html#affc609e18961b48f54d0059b220645b0',1,'systemc_clang::WaitContainer::_numArgs']]], + ['_5fos_76',['_os',['../classsystemc__clang_1_1FindGlobalEvents.html#a4a33d4ffeb02939957b01f4a051db3b2',1,'systemc_clang::FindGlobalEvents::_os'],['../classsystemc__clang_1_1FindTLMInterfaces.html#a7feb7b27cadca0cd0362552ea2ee4cc5',1,'systemc_clang::FindTLMInterfaces::_os'],['../classsystemc__clang_1_1FindGPUMacro.html#af80cd73149352603f357c75377e93c5f',1,'systemc_clang::FindGPUMacro::_os'],['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a07a0cdab13d25f006b411f16363f83dc',1,'systemc_clang::GlobalSuspensionAutomata::_os'],['../classsystemc__clang_1_1SuspensionAutomata.html#a194e22c3a542e7068dbc2e1e9def1283',1,'systemc_clang::SuspensionAutomata::_os']]], + ['_5foutgoingtransitionsmap_77',['_outgoingTransitionsMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ab7727d723e440cce6492538fc4adbac3',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5foutinterfaces_78',['_outInterfaces',['../classsystemc__clang_1_1FindTLMInterfaces.html#a25d27b3f394c691071aa3497c52cd9c7',1,'systemc_clang::FindTLMInterfaces']]], + ['_5fparentcfgblock_79',['_parentCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a64f7852a9f61968fab89572d1ec1b269',1,'systemc_clang::SusCFG']]], + ['_5fparentsuscfgblock_80',['_parentSusCFGBlock',['../classsystemc__clang_1_1SusCFG.html#a107aa1307d8ef6b18dd22ab34183575a',1,'systemc_clang::SusCFG']]], + ['_5fpass_81',['_pass',['../classsystemc__clang_1_1FindNetlist.html#acfb41e8566e17999fabd9584f97edeb2',1,'systemc_clang::FindNetlist']]], + ['_5fpredblocks_82',['_predBlocks',['../classsystemc__clang_1_1SusCFG.html#a9643182c4775869b388162bec9a06125',1,'systemc_clang::SusCFG']]], + ['_5fpreds_83',['_preds',['../classsystemc__clang_1_1Node.html#a50deecec30b605b718d204a185e94d56',1,'systemc_clang::Node']]], + ['_5fstatecommoncodeblockmap_84',['_stateCommonCodeBlockMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a2dc51afaae37f0bc70d7bd9555a14cd0',1,'systemc_clang::SuspensionAutomata']]], + ['_5fsuccblocks_85',['_succBlocks',['../classsystemc__clang_1_1SusCFG.html#afa744bc952a2909ae76d0668edf20cc5',1,'systemc_clang::SusCFG']]], + ['_5fsuccs_86',['_succs',['../classsystemc__clang_1_1Node.html#a50ec07cd2bd6574c73e0e0f33c35c45d',1,'systemc_clang::Node']]], + ['_5fsusauto_87',['_susAuto',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5b0c72653fe7a6b6ba9ab0ef9e4928de',1,'systemc_clang::EntryFunctionContainer']]], + ['_5fsuscfg_88',['_susCFG',['../classsystemc__clang_1_1EntryFunctionContainer.html#a29c9490333ae4bc776d1554aee25299e',1,'systemc_clang::EntryFunctionContainer']]], + ['_5fsuscfgblock_89',['_susCFGBlock',['../classsystemc__clang_1_1State.html#aa7e3da9b2f104c454fa113a2a43d6fb8',1,'systemc_clang::State']]], + ['_5fsuscfgblockgpumacromap_90',['_susCFGBlockGPUMacroMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#afc72d8f6d8094cfa0076217c415f7ff3',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fsuscfgvector_91',['_susCFGVector',['../classsystemc__clang_1_1SuspensionAutomata.html#a27275596fa8f06631c49ee5bb7b6451b',1,'systemc_clang::SuspensionAutomata']]], + ['_5fsystemc_5fclang_5fbuild_5fdir_92',['_systemc_clang_build_dir',['../classsystemc-clang_1_1SystemCClang.html#a1da35c77e30a2bcae44dfb5cc99f2f5b',1,'systemc-clang::SystemCClang']]], + ['_5fsystemc_5fpath_93',['_systemc_path',['../classsystemc-clang_1_1SystemCClang.html#afb45d9e3a5c5c5ac80c95a453df80884',1,'systemc-clang::SystemCClang']]], + ['_5fsystemcmodel_94',['_systemcModel',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#af0896e0c2ac16d8ef73e97759235c09c',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fthreadidx_95',['_threadIdx',['../classsystemc__clang_1_1GPUMacro.html#aa11a64d7561d885020a41d1415d760d1',1,'systemc_clang::GPUMacro']]], + ['_5fthreadidy_96',['_threadIdy',['../classsystemc__clang_1_1GPUMacro.html#a1be3f3799006c7139cc3eac1e8d02fa8',1,'systemc_clang::GPUMacro']]], + ['_5fthreadidz_97',['_threadIdz',['../classsystemc__clang_1_1GPUMacro.html#aa0c70be95d461aff5063a21467906dc8',1,'systemc_clang::GPUMacro']]], + ['_5ftimeadvancevector_98',['_timeAdvanceVector',['../classsystemc__clang_1_1Edge.html#a275236a8efc3e201d8b980fd0ec8b96b',1,'systemc_clang::Edge']]], + ['_5ftimeinns_99',['_timeInNS',['../classsystemc__clang_1_1State.html#afd087313ff6180a75f89ff5c73afec7e',1,'systemc_clang::State']]], + ['_5fto_100',['_to',['../classsystemc__clang_1_1Edge.html#aa668e70adba85721e85887dc47dfab8a',1,'systemc_clang::Edge']]], + ['_5ftransitiontimemap_101',['_transitionTimeMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#a75a3cb959657bf75967419d5bf9e7421',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5ftransitionvector_102',['_transitionVector',['../classsystemc__clang_1_1SuspensionAutomata.html#ade6ac88ba947cd76de77e64120f71acf',1,'systemc_clang::SuspensionAutomata']]], + ['_5fvisittransitionmap_103',['_visitTransitionMap',['../classsystemc__clang_1_1GlobalSuspensionAutomata.html#ae1dd6e905aaeecd749e6f1258403e9dd',1,'systemc_clang::GlobalSuspensionAutomata']]], + ['_5fwaitcalls_104',['_waitCalls',['../classsystemc__clang_1_1EntryFunctionContainer.html#a74bebabfa45c0882208ddd53289e942d',1,'systemc_clang::EntryFunctionContainer::_waitCalls'],['../classsystemc__clang_1_1SuspensionAutomata.html#a6ca89c18a35d5437eb9dc4f086d0dd59',1,'systemc_clang::SuspensionAutomata::_waitCalls']]], + ['_5fwaitlist_105',['_waitList',['../classsystemc__clang_1_1WaitCalls.html#a594473e183e6738a56258c8428d55b2e',1,'systemc_clang::WaitCalls']]], + ['_5fwaitstmt_106',['_waitStmt',['../classsystemc__clang_1_1SusCFG.html#acc0768e947474bc4852c50ae4ec41d6b',1,'systemc_clang::SusCFG']]] ]; diff --git a/search/variables_10.js b/search/variables_10.js index c2fa9bff..e2261c76 100644 --- a/search/variables_10.js +++ b/search/variables_10.js @@ -7,7 +7,7 @@ var searchData= ['terminator_5fhas_5fbreak_5f_4',['terminator_has_break_',['../classsystemc__clang_1_1SplitCFGBlock.html#a772fac7440ee0779402916b595a2cb7c',1,'systemc_clang::SplitCFGBlock']]], ['terminator_5fhas_5fwait_5f_5',['terminator_has_wait_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab52fd9c5a0ccbcdb63ce5e0bca38d2d2',1,'systemc_clang::SplitCFGBlock']]], ['thismode_6',['thismode',['../classsystemc__hdl_1_1HDLBody.html#a1a31c1732af3f4975d36b457bab8be77',1,'systemc_hdl::HDLBody::thismode'],['../classsystemc__hdl_1_1StmtVisitor.html#a01e7b3a0966d6062cf883aef5c78c5d4',1,'systemc_hdl::StmtVisitor::thismode']]], - ['thread_5fcomb_7',['thread_comb',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae7421797fb55ee27d0641c26da9e2a5c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['thread_5fcomb_7',['thread_comb',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a51ca707bb031c95d9b811bb1d4d783cc',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['thread_5fname_8',['thread_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5046e370fe49bdfaefdef8c0d7ad2af5',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['thread_5fvname_5fmap_9',['thread_vname_map',['../classsystemc__hdl_1_1HDLThread.html#af41dd2020c801308c2c00ba7d375e5e2',1,'systemc_hdl::HDLThread']]], ['threadresetmap_10',['threadresetmap',['../classsystemc__hdl_1_1HDLMain.html#ab3a3c16ef6e852cac81805235543cffe',1,'systemc_hdl::HDLMain']]], @@ -16,11 +16,12 @@ var searchData= ['tree_5f_13',['tree_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#a1f540c69d3dced2b76bfdaa84fae35ed',1,'systemc_clang::Tree::const_dft_iterator::tree_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ae35664e60155ef871652d9f88249815a',1,'systemc_clang::Tree::dft_iterator::tree_']]], ['true_5fpath_5f_14',['true_path_',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a04292b20222d153484b04c115ea4fc73',1,'systemc_clang::SplitCFGPathInfo']]], ['tutil_15',['tutil',['../classHDLType.html#a8f161eb374dfcbd7b0c32626d521b324',1,'HDLType']]], - ['type_5fdecl_16',['type_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ab09f623c60a3aed02d2648512beca6e1',1,'sc_ast_matchers::ModuleInstanceType']]], - ['type_5fname_17',['type_name',['../classparselib_1_1primitives_1_1vmodule.html#a794aa548e74d218e7aa8a17bed501fb8',1,'parselib::primitives::vmodule']]], - ['type_5fname_5f_18',['type_name_',['../classsystemc__clang_1_1TemplateType.html#a056a0a4453a2395e722e9ac87af483a6',1,'systemc_clang::TemplateType']]], - ['type_5fparam_5fnames_19',['type_param_names',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a7f63b3be370bf52e5a8d9aae3d65b7e8',1,'parselib::transforms::node::TypeDefType']]], - ['type_5fptr_5f_20',['type_ptr_',['../classsystemc__clang_1_1TemplateType.html#aed32832c653df6e1b894e21ee10dd613',1,'systemc_clang::TemplateType']]], - ['types_21',['types',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a17e7f2f395a64011f0dd9c76082c8d02',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a8ac2093c84eed1913be9d4a7348473e7',1,'parselib.transforms.typedef_filter.TypeDefFilter.types']]], - ['types_5fseen_22',['types_seen',['../classhnode_1_1util.html#a2eb6cdcc2e5d86e046f47ff9beb00ca3',1,'hnode::util']]] + ['type_16',['type',['../classparselib_1_1transforms_1_1interface__generation_1_1PortDecl.html#abd22edde1e95737d30dc7c68aa5902d5',1,'parselib::transforms::interface_generation::PortDecl']]], + ['type_5fdecl_17',['type_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ab09f623c60a3aed02d2648512beca6e1',1,'sc_ast_matchers::ModuleInstanceType']]], + ['type_5fname_18',['type_name',['../classparselib_1_1primitives_1_1vmodule.html#a794aa548e74d218e7aa8a17bed501fb8',1,'parselib::primitives::vmodule']]], + ['type_5fname_5f_19',['type_name_',['../classsystemc__clang_1_1TemplateType.html#a056a0a4453a2395e722e9ac87af483a6',1,'systemc_clang::TemplateType']]], + ['type_5fparam_5fnames_20',['type_param_names',['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a7f63b3be370bf52e5a8d9aae3d65b7e8',1,'parselib::transforms::node::TypeDefType']]], + ['type_5fptr_5f_21',['type_ptr_',['../classsystemc__clang_1_1TemplateType.html#aed32832c653df6e1b894e21ee10dd613',1,'systemc_clang::TemplateType']]], + ['types_22',['types',['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ac18b59b36a36564e2da8d8ef8dd597fa',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a074a738ed41ae9fbe77594bc49b4d75f',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a4755dbdda4c8f80dba6e804be74f0500',1,'parselib.transforms.typedef_expansion.TypedefExpansion.types'],['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a8ac2093c84eed1913be9d4a7348473e7',1,'parselib.transforms.typedef_filter.TypeDefFilter.types']]], + ['types_5fseen_23',['types_seen',['../classhnode_1_1util.html#a2eb6cdcc2e5d86e046f47ff9beb00ca3',1,'hnode::util']]] ]; diff --git a/search/variables_2.js b/search/variables_2.js index 826e458b..9e67fb0b 100644 --- a/search/variables_2.js +++ b/search/variables_2.js @@ -1,7 +1,7 @@ var searchData= [ ['base_5finstances_5f_0',['base_instances_',['../classsystemc__clang_1_1ModuleInstance.html#a33a5d8fe2f5b877ae5a7f59e1067bf5a',1,'systemc_clang::ModuleInstance']]], - ['bindings_1',['bindings',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ade420ad95186a35a6598f76b2f55022c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['bindings_1',['bindings',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4a1c7afa438f7e40cb87890fdca843d4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindings'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a4a1c7afa438f7e40cb87890fdca843d4',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.bindings']]], ['block_5f_2',['block_',['../classsystemc__clang_1_1SplitCFGBlock.html#a6ab512e4faf89c9f48f66a6a5fcd2e4c',1,'systemc_clang::SplitCFGBlock']]], ['break_5ffound_5f_3',['break_found_',['../classsystemc__clang_1_1BreakMatcher.html#a2536c01bdd44067e953b5cd03aa90aad',1,'systemc_clang::BreakMatcher']]], ['broken_5fdown_5fops_4',['broken_down_ops',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a73ba37b8f548e24a6db95926bb59ec17',1,'parselib::transforms::comma_transformation::CommaTransformation']]] diff --git a/search/variables_3.js b/search/variables_3.js index b577531d..f1276d4c 100644 --- a/search/variables_3.js +++ b/search/variables_3.js @@ -26,24 +26,29 @@ var searchData= ['clock_5fports_5f_23',['clock_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6c9455bf67e1f10d2f466b787fe86eed',1,'sc_ast_matchers::PortMatcher']]], ['cnt_24',['cnt',['../classhnode_1_1name__serve.html#a89abe9e0595a8d73481d357172c4f081',1,'hnode::name_serve']]], ['compound_5fassign_25',['COMPOUND_ASSIGN',['../classparselib_1_1transforms_1_1alias__translation_1_1AliasTranslation.html#a6d45a606b8488d77602f8d5c73149348',1,'parselib::transforms::alias_translation::AliasTranslation']]], - ['constructor_5fdecl_5f_26',['constructor_decl_',['../classsystemc__clang_1_1FindConstructor.html#aa176bb113d9f3c87e45c79ff696b4d5c',1,'systemc_clang::FindConstructor::constructor_decl_'],['../classsystemc__clang_1_1ModuleInstance.html#ac10d27c2d4949dfd29760d75d59abdbc',1,'systemc_clang::ModuleInstance::constructor_decl_']]], - ['constructor_5fstmt_5f_27',['constructor_stmt_',['../classsystemc__clang_1_1FindConstructor.html#a3a9172787f5dbb6a9a27f596ff4abd2b',1,'systemc_clang::FindConstructor::constructor_stmt_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a8759e45cd4ebb8290a0892f70fa5edba',1,'systemc_clang::FindEntryFunctions::constructor_stmt_'],['../classsystemc__clang_1_1ModuleInstance.html#a8c0d420aa73f7ea7fd619494cd3d7dcc',1,'systemc_clang::ModuleInstance::constructor_stmt_']]], - ['context_5f_28',['context_',['../classsystemc__clang_1_1SplitCFG.html#a49ab68c0e922e15f11c8eeef9d4e2400',1,'systemc_clang::SplitCFG::context_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a78cb675830ac2a792c65ab4291b12aa8',1,'systemc_clang::FindEntryFunctions::context_'],['../classsystemc__clang_1_1SystemCConsumer.html#a53d03841ed71ecf0ca5cd056e5e8a69a',1,'systemc_clang::SystemCConsumer::context_']]], - ['cop_5f_29',['cop_',['../classsystemc__clang_1_1SplitCFG.html#ad6c33c012da28c8b2ec8c1f4db0fa199',1,'systemc_clang::SplitCFG']]], - ['ctor_5fdecl_5f_30',['ctor_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#af07587717c680a9e61df581032e05dd2',1,'systemc_clang::FindEntryFunctions']]], - ['curix_31',['curix',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a95c7363a4b07b0c3e39359d14c49bd9f',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['current_32',['current',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a3149677e364d90e2b347bd705e7a4d80',1,'parselib::transforms::structure_collector::StructureCollector']]], - ['current_5fbindings_33',['current_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#abdfd8a55d0709892006259ffcf39e915',1,'parselib::transforms::type_collector::TypeCollector']]], - ['current_5ffunction_5fassignments_34',['current_function_assignments',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a420f018873f6a3eb52986d551e706cca',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['current_5findent_35',['current_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aadfe5e638145d76f2991f6cdbe339345',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['current_5fmod_36',['current_mod',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a514fee13528b611a6ac988cc67e5f583',1,'parselib::transforms::type_collector::TypeCollector']]], - ['current_5fmodule_37',['current_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a01cd6172078c35ada518f31a564d75fe',1,'parselib.transforms.literal_expansion.LiteralExpansion.current_module'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#ac1e247d78d82dd9e17a30744510bdd96',1,'parselib.transforms.node_movement.NodeMovement.current_module'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a63540a238bda2e39aeb00d78c8cce708',1,'parselib.transforms.typedef_expansion.TypedefExpansion.current_module'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a354d2a73b686cb8939e2c6109214c187',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.current_module']]], - ['current_5fmodule_5ffunction_5fnodes_38',['current_module_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a6bfba2cd0ae890c9faa773706a509fbc',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a050ed0f160cb1992313c988b4092c0ab',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes']]], - ['current_5fparams_39',['current_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a932cd9625d368bfcfb2d90c49449187e',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], - ['current_5fphantom_5fname_40',['current_phantom_name',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a309697313513d93d22b639ae8219f3ba',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], - ['current_5fproc_5fname_41',['current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7e8660b3ec8a7fc8bc1e3fd63ef81578',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['current_5fprocess_5ffunction_5finvocations_42',['current_process_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a2d13b388d2b10c7d97408bbbab7c2c00',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], - ['current_5ftype_5fnode_5f_43',['current_type_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a1a5a05a299d882cce7e2f99d17f85f1a',1,'systemc_clang::FindTemplateTypes']]], - ['custom_5ftypes_44',['custom_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a1125b313e3ebf88972d6620ac0bbc5ab',1,'parselib::transforms::type_collector::TypeCollector']]], - ['cxx_5fmcall_5f_45',['cxx_mcall_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#afa0b896b5167844ee2a6cecea32658d0',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]] + ['condexp_5fconfluence_5fblock_5fmap_26',['condexp_confluence_block_map',['../classsystemc__hdl_1_1HDLThread.html#a6ef916fe8a20427d4b74802a18404a6d',1,'systemc_hdl::HDLThread']]], + ['condexp_5fskip_5fblock_5fset_27',['condexp_skip_block_set',['../classsystemc__hdl_1_1HDLThread.html#ab8bdd55b52b61ab2a324322b2d511be1',1,'systemc_hdl::HDLThread']]], + ['constructor_5fdecl_5f_28',['constructor_decl_',['../classsystemc__clang_1_1FindConstructor.html#aa176bb113d9f3c87e45c79ff696b4d5c',1,'systemc_clang::FindConstructor::constructor_decl_'],['../classsystemc__clang_1_1ModuleInstance.html#ac10d27c2d4949dfd29760d75d59abdbc',1,'systemc_clang::ModuleInstance::constructor_decl_']]], + ['constructor_5fstmt_5f_29',['constructor_stmt_',['../classsystemc__clang_1_1FindConstructor.html#a3a9172787f5dbb6a9a27f596ff4abd2b',1,'systemc_clang::FindConstructor::constructor_stmt_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a8759e45cd4ebb8290a0892f70fa5edba',1,'systemc_clang::FindEntryFunctions::constructor_stmt_'],['../classsystemc__clang_1_1ModuleInstance.html#a8c0d420aa73f7ea7fd619494cd3d7dcc',1,'systemc_clang::ModuleInstance::constructor_stmt_']]], + ['context_5f_30',['context_',['../classsystemc__clang_1_1SplitCFG.html#a49ab68c0e922e15f11c8eeef9d4e2400',1,'systemc_clang::SplitCFG::context_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a78cb675830ac2a792c65ab4291b12aa8',1,'systemc_clang::FindEntryFunctions::context_'],['../classsystemc__clang_1_1SystemCConsumer.html#a53d03841ed71ecf0ca5cd056e5e8a69a',1,'systemc_clang::SystemCConsumer::context_']]], + ['cop_5f_31',['cop_',['../classsystemc__clang_1_1SplitCFG.html#ad6c33c012da28c8b2ec8c1f4db0fa199',1,'systemc_clang::SplitCFG']]], + ['ctor_5fdecl_5f_32',['ctor_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#af07587717c680a9e61df581032e05dd2',1,'systemc_clang::FindEntryFunctions']]], + ['ctx_33',['ctx',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#ac200cab62a8d5c75c0f8b6e2b2f6413b',1,'parselib.transforms.interface_generation.InterfaceGeneration.ctx'],['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a7167b8f67af39ab2e5cdf2c792d3e0ce',1,'parselib.transforms.interface_generation.InterfaceReplacement.ctx'],['../classparselib_1_1transforms_1_1node__movement_1_1ArrayPortMovement.html#a807fa1b3d238109fba6692cab777a66b',1,'parselib.transforms.node_movement.ArrayPortMovement.ctx'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a3ecb8662ca2348880201d3fd49653025',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.ctx'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a84426f0b950c0df584bbaf62f4b71548',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.ctx'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a22a1da5abd05dc94371db24a2683df8e',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.ctx'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a93a0855477bfc07e8a73315de52de2ad',1,'parselib.transforms.typedef_expansion.TypedefExpansion.ctx'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2acbf5724e9067d6adb119b67f32bb85',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.ctx']]], + ['curix_34',['curix',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a95c7363a4b07b0c3e39359d14c49bd9f',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], + ['current_35',['current',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a3b7f793ef08def1632a870432e3ecac9',1,'parselib::transforms::structure_collector::StructureCollector']]], + ['current_5fbindings_36',['current_bindings',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a45898f52a3d347c54b4cb3e9b7e19466',1,'parselib::transforms::type_collector::TypeCollector']]], + ['current_5ffor_5fstmt_37',['current_for_stmt',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a63dc0fe30466fdf093f7a752a694a7f3',1,'parselib.transforms.sensevar_movement.SensevarMovement.current_for_stmt'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a1833cae1cfd57f42690194977427b675',1,'parselib.transforms.sensevar_movement.SensevarMovement.current_for_stmt']]], + ['current_5ffunction_5fassignments_38',['current_function_assignments',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#ad4f03342a9ef7eb6cfffaad20b685e55',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['current_5ffunction_5fnodes_39',['current_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a428f4aa819d9acfb7cd21911c680571c',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['current_5findent_40',['current_indent',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aadfe5e638145d76f2991f6cdbe339345',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['current_5fmod_41',['current_mod',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a514fee13528b611a6ac988cc67e5f583',1,'parselib::transforms::type_collector::TypeCollector']]], + ['current_5fmodule_42',['current_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a01cd6172078c35ada518f31a564d75fe',1,'parselib.transforms.literal_expansion.LiteralExpansion.current_module'],['../classparselib_1_1transforms_1_1node__movement_1_1NodeMovement.html#ac1e247d78d82dd9e17a30744510bdd96',1,'parselib.transforms.node_movement.NodeMovement.current_module'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a4dd65a62b253b4774528511f43de8b52',1,'parselib.transforms.sensevar_movement.SensevarMovement.current_module'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a354d2a73b686cb8939e2c6109214c187',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.current_module']]], + ['current_5fmodule_5ffunction_5fnodes_43',['current_module_function_nodes',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#adf9907c78d76d92d396daba8ccca8e65',1,'parselib.transforms.function_info_pass.FunctionInfoPass.current_module_function_nodes'],['../classparselib_1_1transforms_1_1function__transformation__pass_1_1FunctionTransformationPass.html#a050ed0f160cb1992313c988b4092c0ab',1,'parselib.transforms.function_transformation_pass.FunctionTransformationPass.current_module_function_nodes']]], + ['current_5fparams_44',['current_params',['../classparselib_1_1transforms_1_1typedef__filter_1_1TypeDefFilter.html#a932cd9625d368bfcfb2d90c49449187e',1,'parselib::transforms::typedef_filter::TypeDefFilter']]], + ['current_5fphantom_5fname_45',['current_phantom_name',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass2.html#a309697313513d93d22b639ae8219f3ba',1,'parselib::transforms::function_info_pass::FunctionInfoPass2']]], + ['current_5fproc_5fname_46',['current_proc_name',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a7e8660b3ec8a7fc8bc1e3fd63ef81578',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['current_5fprocess_5ffunction_5finvocations_47',['current_process_function_invocations',['../classparselib_1_1transforms_1_1function__info__pass_1_1FunctionInfoPass.html#a2d13b388d2b10c7d97408bbbab7c2c00',1,'parselib::transforms::function_info_pass::FunctionInfoPass']]], + ['current_5ftype_5fnode_5f_48',['current_type_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a1a5a05a299d882cce7e2f99d17f85f1a',1,'systemc_clang::FindTemplateTypes']]], + ['custom_5ftypes_49',['custom_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a1125b313e3ebf88972d6620ac0bbc5ab',1,'parselib::transforms::type_collector::TypeCollector']]], + ['cxx_5fmcall_5f_50',['cxx_mcall_',['../classsc__ast__matchers_1_1SensitiveOperatorCallMatcher.html#afa0b896b5167844ee2a6cecea32658d0',1,'sc_ast_matchers::SensitiveOperatorCallMatcher']]] ]; diff --git a/search/variables_6.js b/search/variables_6.js index 3f422de6..7181fe66 100644 --- a/search/variables_6.js +++ b/search/variables_6.js @@ -2,9 +2,9 @@ var searchData= [ ['false_5fidx_5f_0',['false_idx_',['../structsystemc__clang_1_1SupplementaryInfo.html#a0bc102c0f387699d4aa855448018c411',1,'systemc_clang::SupplementaryInfo']]], ['false_5fpath_5f_1',['false_path_',['../classsystemc__clang_1_1SplitCFGPathInfo.html#a23a2d07bddd11534dbd89c869790cf35',1,'systemc_clang::SplitCFGPathInfo']]], - ['field_5faccess_2',['field_access',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a33dd738649eb3d0cd091d0b290274468',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['field_5faccess_2',['field_access',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a7306ca943528aec6868b5224b9a0cca3',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], ['field_5fdecl_5f_3',['field_decl_',['../classsystemc__clang_1_1PortDecl.html#ab907f3dbfe7a2bada940b37c896d48e7',1,'systemc_clang::PortDecl']]], - ['fields_4',['fields',['../classparselib_1_1compound_1_1aggregate.html#a7cff63c576aa69cf46f42b7883a1cd5f',1,'parselib.compound.aggregate.fields'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a11efa210679117f5a61d61bb5978717f',1,'parselib.transforms.node.TypeDefType.fields'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#acab32d8a6a5044e22bad883d0b43e39f',1,'parselib.transforms.type_node.TypeNode.fields']]], + ['fields_4',['fields',['../classparselib_1_1compound_1_1aggregate.html#a7cff63c576aa69cf46f42b7883a1cd5f',1,'parselib.compound.aggregate.fields'],['../classparselib_1_1compound_1_1aggregate.html#a3d86071bebfc129f25d0fd3f0e9fd65b',1,'parselib.compound.aggregate.fields'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a11efa210679117f5a61d61bb5978717f',1,'parselib.transforms.node.TypeDefType.fields'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#acab32d8a6a5044e22bad883d0b43e39f',1,'parselib.transforms.type_node.TypeNode.fields'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#acaafefb029f36eed4ee780c7c1585b28',1,'parselib.transforms.type_node.TypeNode.fields']]], ['file_5',['file',['../namespacesystemc__clang.html#a24221f7aa44f163d9275a2a0bf58ff06',1,'systemc_clang']]], ['found_5fentry_5fdecl_5f_6',['found_entry_decl_',['../classsystemc__clang_1_1FindEntryFunctions.html#a12970bdbd070601e1c886ebaa00c283e',1,'systemc_clang::FindEntryFunctions']]], ['found_5ffields_5f_7',['found_fields_',['../classsc__ast__matchers_1_1FindMemberFieldMatcher.html#a106bc2dcf987c0abf7fc0dd4875f87bc',1,'sc_ast_matchers::FindMemberFieldMatcher']]], diff --git a/search/variables_7.js b/search/variables_7.js index d5eb1605..862a90c0 100644 --- a/search/variables_7.js +++ b/search/variables_7.js @@ -6,7 +6,7 @@ var searchData= ['h_5fret_3',['h_ret',['../classsystemc__hdl_1_1HDLBody.html#a63909266f79a4ac9c8ed9264a6b675a7',1,'systemc_hdl::HDLBody::h_ret'],['../classsystemc__hdl_1_1StmtVisitor.html#a78b9dbc6c30cf1ee3cb9d694315d38b9',1,'systemc_hdl::StmtVisitor::h_ret'],['../classsystemc__hdl_1_1HDLThread.html#a2a6a20408f54a80c546bb22c3882dca6',1,'systemc_hdl::HDLThread::h_ret']]], ['h_5ftop_5f_4',['h_top_',['../classsystemc__hdl_1_1HDLThread.html#ae4256fd94e77de271a594637f92fab72',1,'systemc_hdl::HDLThread']]], ['h_5fvardeclp_5',['h_vardeclp',['../structhnode_1_1names__t.html#a9b4e9c1fba5cc4661b34936ebc8aaf49',1,'hnode::names_t']]], - ['has_5fcomma_6',['has_comma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a2d0b2afef41af77bf1cdf0e9ac390d6c',1,'parselib::transforms::comma_transformation::CommaTransformation']]], + ['has_5fcomma_6',['has_comma',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#af6a0000464243cd00c83a72aa8e94134',1,'parselib::transforms::comma_transformation::CommaTransformation']]], ['has_5fternary_5fop_5f_7',['has_ternary_op_',['../classsystemc__clang_1_1SplitCFG.html#a0f9ff2f83d353ed7b4f5c8d8b1aa3315',1,'systemc_clang::SplitCFG']]], ['has_5fwait_5f_8',['has_wait_',['../classsystemc__clang_1_1SplitCFGBlock.html#a7bbe00379a8f45caec8af0d3b8673e0b',1,'systemc_clang::SplitCFGBlock']]], ['hdecl_5fname_5fmap_9',['hdecl_name_map',['../classhnode_1_1newname__map__t.html#ac8c0856276502c09b43124d6e1fa5a87',1,'hnode::newname_map_t']]], @@ -16,7 +16,7 @@ var searchData= ['hdlt_5fuserclassesp_5f_13',['HDLt_userclassesp_',['../classsystemc__hdl_1_1HDLBody.html#a8fc28a0bb01766cbcc67a343d15ead74',1,'systemc_hdl::HDLBody']]], ['help_14',['help',['../namespacesystemc-clang.html#acb2b2f8703d0901d9680cf916ee6ae7b',1,'systemc-clang']]], ['hi_15',['hi',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a171fdfef63265b226a4bcb9b35fd8dea',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['hier_16',['hier',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#ad5dfb8fb8e5a93c8027a1f1bf463b2ff',1,'parselib::transforms::structure_collector::StructureCollector']]], + ['hier_16',['hier',['../classparselib_1_1transforms_1_1structure__collector_1_1StructureCollector.html#a3b538845cffc6d52b8725b0aa2dc4dae',1,'parselib::transforms::structure_collector::StructureCollector']]], ['hlocalvarsp_17',['hlocalvarsp',['../classsystemc__hdl_1_1HDLThread.html#acaa8ae2311a3711bfbc0267af37f8d2d',1,'systemc_hdl::HDLThread']]], ['hnewpb_18',['hnewpb',['../classsystemc__hdl_1_1HDLConstructorHcode.html#a4e57797945f1096c2b9eb20af61c605a',1,'systemc_hdl::HDLConstructorHcode']]], ['hnewsens_19',['hnewsens',['../classsystemc__hdl_1_1HDLConstructorHcode.html#afca50bae03fd257b2420ebda5df3a5a9',1,'systemc_hdl::HDLConstructorHcode']]], diff --git a/search/variables_8.js b/search/variables_8.js index 7167751a..27804f71 100644 --- a/search/variables_8.js +++ b/search/variables_8.js @@ -2,39 +2,49 @@ var searchData= [ ['id_5f_0',['id_',['../classsystemc__clang_1_1SplitCFGBlock.html#a7a1f5b70d0a62161ce0bcb427c1b64db',1,'systemc_clang::SplitCFGBlock']]], ['iinterfaces_5f_1',['iinterfaces_',['../classsystemc__clang_1_1ModuleInstance.html#aa512f72d3c57fac67933beb78526e4a1',1,'systemc_clang::ModuleInstance']]], - ['in_5ffor_5finit_2',['in_for_init',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a1aa0839f1911a8aebd8d3d9a664ed8a8',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['in_5ffor_5finit_2',['in_for_init',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a971445bf3ffe30080eec1cbaf67c7750',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['in_5fports_5f_3',['in_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6cd9c1fbdce7a63e2290869a3a2d91bd',1,'sc_ast_matchers::PortMatcher::in_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#aa00bfa3f29b80ff35a14d452e8eb3a02',1,'systemc_clang::ModuleInstance::in_ports_']]], - ['indent_5fcharacter_4',['indent_character',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a062b064734e7160348d624fb02fe2f3a',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['indent_5finc_5',['indent_inc',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aaf2d58e737f8f426ee57243d54d742f3',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['indent_5fcharacter_4',['indent_character',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a89ae5836cbc33b58a6316b8b2f71b0ad',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['indent_5finc_5',['indent_inc',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a5ac9b1a595141c231a7b0620fbf49347',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], ['indent_5fstack_6',['indent_stack',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a8036224acb17dc18b74915df4a349747',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['inout_5fports_5f_7',['inout_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#ac8656e52700fdf69ef385e6053f413a6',1,'sc_ast_matchers::PortMatcher::inout_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a6466dfefe24da3ac00e228cb972957b8',1,'systemc_clang::ModuleInstance::inout_ports_']]], - ['inst_5flist_5fmodule_5fmap_5f_8',['inst_list_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a382835fd994c7c545cd265877b68c286',1,'systemc_clang::FindNetlist']]], - ['inst_5fmodule_5fmap_5f_9',['inst_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a8bf741a3f9c422cfa96323592144cdb4',1,'systemc_clang::FindNetlist']]], - ['inst_5fport_5fsignal_5fmap_5f_10',['inst_port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a5c239fe7f713261cbbd7eff4c7fdc205',1,'systemc_clang::FindNetlist']]], - ['instance_5fconstructor_5fname_5f_11',['instance_constructor_name_',['../classsystemc__clang_1_1PortBinding.html#a1f6c1768a1be812b51b968e00822853d',1,'systemc_clang::PortBinding']]], - ['instance_5fdecl_12',['instance_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a2a82a26b5175ffbd26146154cde7b054',1,'sc_ast_matchers::ModuleInstanceType']]], - ['instance_5fdecl_5f_13',['instance_decl_',['../classsystemc__clang_1_1ModuleInstance.html#a352b553a23314c7dbcb71fe2c02f860b',1,'systemc_clang::ModuleInstance']]], - ['instance_5finfo_5f_14',['instance_info_',['../classsystemc__clang_1_1ModuleInstance.html#ae87066c2ad1a38280c12059fec7cf51d',1,'systemc_clang::ModuleInstance']]], - ['instance_5flist_5f_15',['instance_list_',['../classsystemc__clang_1_1ModuleInstance.html#abbbf86afd09113e92c647eb1897dc084',1,'systemc_clang::ModuleInstance']]], - ['instance_5fliteral_5f_16',['instance_literal_',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a34ca285e3063551112d52b67fc262b4c',1,'sc_ast_matchers::InstanceArgumentMatcher']]], - ['instance_5fmap_5f_17',['instance_map_',['../classsc__ast__matchers_1_1InstanceMatcher.html#ac2b21c735d12ccf05460992aa415a0dc',1,'sc_ast_matchers::InstanceMatcher']]], - ['instance_5fmatcher_5f_18',['instance_matcher_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a177826a10a2e53d440b2fa0f6e47233e',1,'sc_ast_matchers::ModuleDeclarationMatcher::instance_matcher_'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ad4f23514978e9a5c52c87763c112b8f4',1,'sc_ast_matchers::NetlistMatcher::instance_matcher_']]], - ['instance_5fmodule_5fmap_5f_19',['instance_module_map_',['../classsystemc__clang_1_1Model.html#a23cffb90397641c7a1405a8145b94e3b',1,'systemc_clang::Model']]], - ['instance_5fname_20',['instance_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac2e3331654217483b96515b50fd7172d',1,'sc_ast_matchers::ModuleInstanceType']]], - ['instance_5fname_5f_21',['instance_name_',['../classsystemc__clang_1_1ModuleInstance.html#a0bebd9c3b1272b8cf32b04421953ba63',1,'systemc_clang::ModuleInstance']]], - ['instance_5fnames_22',['instance_names',['../structsc__ast__matchers_1_1ModuleInstanceType.html#aca4d97a4786fa633d27ed90ecca494a0',1,'sc_ast_matchers::ModuleInstanceType']]], - ['instance_5ftype_5f_23',['instance_type_',['../classsystemc__clang_1_1PortBinding.html#a2d1ca9566823728e7bef38aad186dcde',1,'systemc_clang::PortBinding']]], - ['instance_5ftype_5fdecl_5f_24',['instance_type_decl_',['../classsystemc__clang_1_1PortBinding.html#a840edc4d7d15b2584ff27d923cc67a4e',1,'systemc_clang::PortBinding']]], - ['instance_5fvar_5fname_5f_25',['instance_var_name_',['../classsystemc__clang_1_1PortBinding.html#afda27f653f063b97e5434d85a4e80a7f',1,'systemc_clang::PortBinding']]], - ['instream_5fports_5f_26',['instream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a9ed1d0cc8e4537b8862a0422640e9550',1,'sc_ast_matchers::PortMatcher']]], - ['iointerfaces_5f_27',['iointerfaces_',['../classsystemc__clang_1_1ModuleInstance.html#a8c6e8f2294684f47209fbd93da6f3000',1,'systemc_clang::ModuleInstance']]], - ['is_5farray_28',['is_array',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad9056089538277c3b0fb3b04ed7a00c9',1,'sc_ast_matchers::ModuleInstanceType']]], - ['is_5farray_5f_29',['is_array_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afdb810b7b04e23673a3c2e64b4cb3001',1,'sc_ast_matchers::ModuleInstanceType::is_array_'],['../classsystemc__clang_1_1PortDecl.html#aaa40e3567d2a19a6f7310c455e4fc54d',1,'systemc_clang::PortDecl::is_array_']]], - ['is_5fconditional_5f_30',['is_conditional_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab5213c2a56b3dfda38fab7c6ba7fc770',1,'systemc_clang::SplitCFGBlock']]], - ['is_5fentry_5ffunction_5f_31',['is_entry_function_',['../classsystemc__clang_1_1FindEntryFunctions.html#ab67becfe5748fc2b42e23a2a739bc77a',1,'systemc_clang::FindEntryFunctions']]], - ['is_5ffield_5fdecl_32',['is_field_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ace8d813116395be7de22d9d492283a69',1,'sc_ast_matchers::ModuleInstanceType']]], - ['is_5fin_5fthread_33',['is_in_thread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ab57a5d04355981229f109ace935acf8c',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['is_5floop_5fwith_5ftwo_5fsucc_5f_34',['is_loop_with_two_succ_',['../classsystemc__clang_1_1SplitCFGBlock.html#a786da84150c6656defb973fe5703ee44',1,'systemc_clang::SplitCFGBlock']]], - ['is_5fport_5fbinding_35',['is_port_binding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aaf3383774c18184d2c30bced2a7703dc',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['istreamports_5f_36',['istreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a8c5265bd212698e526e5a8194d75d7d0',1,'systemc_clang::ModuleInstance']]] + ['initblock_5fvardecls_7',['initblock_vardecls',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a757a498e9eadc05f8849076a75c2f35e',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['inout_5fports_5f_8',['inout_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#ac8656e52700fdf69ef385e6053f413a6',1,'sc_ast_matchers::PortMatcher::inout_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a6466dfefe24da3ac00e228cb972957b8',1,'systemc_clang::ModuleInstance::inout_ports_']]], + ['input_9',['INPUT',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a2bee35609674132e487e3039611adb25',1,'parselib::transforms::portbinding_recollect::PortDirectionCollector']]], + ['inst_5flist_5fmodule_5fmap_5f_10',['inst_list_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a382835fd994c7c545cd265877b68c286',1,'systemc_clang::FindNetlist']]], + ['inst_5fmodule_5fmap_5f_11',['inst_module_map_',['../classsystemc__clang_1_1FindNetlist.html#a8bf741a3f9c422cfa96323592144cdb4',1,'systemc_clang::FindNetlist']]], + ['inst_5fport_5fsignal_5fmap_5f_12',['inst_port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a5c239fe7f713261cbbd7eff4c7fdc205',1,'systemc_clang::FindNetlist']]], + ['instance_5fconstructor_5fname_5f_13',['instance_constructor_name_',['../classsystemc__clang_1_1PortBinding.html#a1f6c1768a1be812b51b968e00822853d',1,'systemc_clang::PortBinding']]], + ['instance_5fdecl_14',['instance_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#a2a82a26b5175ffbd26146154cde7b054',1,'sc_ast_matchers::ModuleInstanceType']]], + ['instance_5fdecl_5f_15',['instance_decl_',['../classsystemc__clang_1_1ModuleInstance.html#a352b553a23314c7dbcb71fe2c02f860b',1,'systemc_clang::ModuleInstance']]], + ['instance_5finfo_5f_16',['instance_info_',['../classsystemc__clang_1_1ModuleInstance.html#ae87066c2ad1a38280c12059fec7cf51d',1,'systemc_clang::ModuleInstance']]], + ['instance_5flist_5f_17',['instance_list_',['../classsystemc__clang_1_1ModuleInstance.html#abbbf86afd09113e92c647eb1897dc084',1,'systemc_clang::ModuleInstance']]], + ['instance_5fliteral_5f_18',['instance_literal_',['../classsc__ast__matchers_1_1InstanceArgumentMatcher.html#a34ca285e3063551112d52b67fc262b4c',1,'sc_ast_matchers::InstanceArgumentMatcher']]], + ['instance_5fmap_5f_19',['instance_map_',['../classsc__ast__matchers_1_1InstanceMatcher.html#ac2b21c735d12ccf05460992aa415a0dc',1,'sc_ast_matchers::InstanceMatcher']]], + ['instance_5fmatcher_5f_20',['instance_matcher_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#a177826a10a2e53d440b2fa0f6e47233e',1,'sc_ast_matchers::ModuleDeclarationMatcher::instance_matcher_'],['../classsc__ast__matchers_1_1NetlistMatcher.html#ad4f23514978e9a5c52c87763c112b8f4',1,'sc_ast_matchers::NetlistMatcher::instance_matcher_']]], + ['instance_5fmodule_5fmap_5f_21',['instance_module_map_',['../classsystemc__clang_1_1Model.html#a23cffb90397641c7a1405a8145b94e3b',1,'systemc_clang::Model']]], + ['instance_5fname_22',['instance_name',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ac2e3331654217483b96515b50fd7172d',1,'sc_ast_matchers::ModuleInstanceType']]], + ['instance_5fname_5f_23',['instance_name_',['../classsystemc__clang_1_1ModuleInstance.html#a0bebd9c3b1272b8cf32b04421953ba63',1,'systemc_clang::ModuleInstance']]], + ['instance_5fnames_24',['instance_names',['../structsc__ast__matchers_1_1ModuleInstanceType.html#aca4d97a4786fa633d27ed90ecca494a0',1,'sc_ast_matchers::ModuleInstanceType']]], + ['instance_5ftype_5f_25',['instance_type_',['../classsystemc__clang_1_1PortBinding.html#a2d1ca9566823728e7bef38aad186dcde',1,'systemc_clang::PortBinding']]], + ['instance_5ftype_5fdecl_5f_26',['instance_type_decl_',['../classsystemc__clang_1_1PortBinding.html#a840edc4d7d15b2584ff27d923cc67a4e',1,'systemc_clang::PortBinding']]], + ['instance_5fvar_5fname_5f_27',['instance_var_name_',['../classsystemc__clang_1_1PortBinding.html#afda27f653f063b97e5434d85a4e80a7f',1,'systemc_clang::PortBinding']]], + ['instream_5fports_5f_28',['instream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a9ed1d0cc8e4537b8862a0422640e9550',1,'sc_ast_matchers::PortMatcher']]], + ['interface_5farg_29',['interface_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#ac31d447444a1318ed707955117e96977',1,'parselib::transforms::verilog_tranlation']]], + ['interface_5finst_5farg_30',['interface_inst_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#a328e7ff4a07c4099f77b095df5ecbc72',1,'parselib::transforms::verilog_tranlation']]], + ['interface_5fmeta_5fdata_31',['interface_meta_data',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceGeneration.html#a013b135f8369fbb73c399c47eba9366a',1,'parselib::transforms::interface_generation::InterfaceGeneration']]], + ['interfaces_32',['interfaces',['../classparselib_1_1transforms_1_1interface__generation_1_1Interface.html#a2599ebc87752bef4468824f706d5758b',1,'parselib::transforms::interface_generation::Interface']]], + ['iointerfaces_5f_33',['iointerfaces_',['../classsystemc__clang_1_1ModuleInstance.html#a8c6e8f2294684f47209fbd93da6f3000',1,'systemc_clang::ModuleInstance']]], + ['is_5farray_34',['is_array',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ad9056089538277c3b0fb3b04ed7a00c9',1,'sc_ast_matchers::ModuleInstanceType']]], + ['is_5farray_5f_35',['is_array_',['../structsc__ast__matchers_1_1ModuleInstanceType.html#afdb810b7b04e23673a3c2e64b4cb3001',1,'sc_ast_matchers::ModuleInstanceType::is_array_'],['../classsystemc__clang_1_1PortDecl.html#aaa40e3567d2a19a6f7310c455e4fc54d',1,'systemc_clang::PortDecl::is_array_']]], + ['is_5fconditional_5f_36',['is_conditional_',['../classsystemc__clang_1_1SplitCFGBlock.html#ab5213c2a56b3dfda38fab7c6ba7fc770',1,'systemc_clang::SplitCFGBlock']]], + ['is_5fentry_5ffunction_5f_37',['is_entry_function_',['../classsystemc__clang_1_1FindEntryFunctions.html#ab67becfe5748fc2b42e23a2a739bc77a',1,'systemc_clang::FindEntryFunctions']]], + ['is_5ffield_5fdecl_38',['is_field_decl',['../structsc__ast__matchers_1_1ModuleInstanceType.html#ace8d813116395be7de22d9d492283a69',1,'sc_ast_matchers::ModuleInstanceType']]], + ['is_5ffor_5fstmt_39',['is_for_stmt',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#ab5a319f942c81cd8330a65e57a401559',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['is_5fin_5fgen_5fblock_40',['is_in_gen_block',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a80e96f61a39e2a93cb526da5c3baf0d6',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_gen_block'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a9b1ed7217a481a7e6ed3dcbe7322629f',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.is_in_gen_block']]], + ['is_5fin_5finitblock_41',['is_in_initblock',['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ae9c2c6a7b7bab30766560bf5eac80738',1,'parselib.transforms.port_expansion.PortExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ae9c2c6a7b7bab30766560bf5eac80738',1,'parselib.transforms.port_expansion.PortExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1port__expansion_1_1PortExpansion.html#ab933d6517d63603d815125870b761374',1,'parselib.transforms.port_expansion.PortExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a8f3cdb2c4568537bd4fe280fee2d7f33',1,'parselib.transforms.sensevar_movement.SensevarMovement.is_in_initblock'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#a08bb45e5d3413d4c702dd3248ded6d09',1,'parselib.transforms.typedef_expansion.TypedefExpansion.is_in_initblock'],['../classparselib_1_1transforms_1_1typedef__expansion_1_1TypedefExpansion.html#ae70357124d017e79b478474c8efd698a',1,'parselib.transforms.typedef_expansion.TypedefExpansion.is_in_initblock']]], + ['is_5fin_5fthread_42',['is_in_thread',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2f9936c75707ca7ad68b2052c35ae427',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['is_5floop_5fwith_5ftwo_5fsucc_5f_43',['is_loop_with_two_succ_',['../classsystemc__clang_1_1SplitCFGBlock.html#a786da84150c6656defb973fe5703ee44',1,'systemc_clang::SplitCFGBlock']]], + ['is_5fport_5fbinding_44',['is_port_binding',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#aaa56ccd972cb8bab09d049711c5a6d92',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['istreamports_5f_45',['istreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a8c5265bd212698e526e5a8194d75d7d0',1,'systemc_clang::ModuleInstance']]], + ['itf_5fmeta_46',['itf_meta',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#adfaec9fe96efdbdb748862e40468940f',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]] ]; diff --git a/search/variables_a.js b/search/variables_a.js index 056ec56a..b82e1e9f 100644 --- a/search/variables_a.js +++ b/search/variables_a.js @@ -7,13 +7,15 @@ var searchData= ['mod_5fname_5fmap_4',['mod_name_map',['../classsystemc__hdl_1_1HDLMain.html#a27f2cf9e193b03b3ae1c41224fe8f998',1,'systemc_hdl::HDLMain']]], ['mod_5fvname_5fmap_5f_5',['mod_vname_map_',['../classsystemc__hdl_1_1HDLBody.html#a7ea276331f98b0cfc6cc28150fd81c0d',1,'systemc_hdl::HDLBody::mod_vname_map_'],['../classsystemc__hdl_1_1HDLThread.html#a63e553f8cd4f4e5cc8ab62fd9c0d30e6',1,'systemc_hdl::HDLThread::mod_vname_map_']]], ['model_5f_6',['model_',['../classsc__ast__matchers_1_1NetlistMatcher.html#a831183355ba8d58eb9f5a67a0b248300',1,'sc_ast_matchers::NetlistMatcher']]], - ['module_5finstance_5flist_5f_7',['module_instance_list_',['../classsystemc__clang_1_1Model.html#ae3f1415377bb43a8cda4b0cbef328605',1,'systemc_clang::Model']]], - ['module_5finstances_5f_8',['module_instances_',['../classsystemc__clang_1_1Model.html#af69296c842ac8745cc924453f70a98ad',1,'systemc_clang::Model']]], - ['module_5fmatcher_5f_9',['module_matcher_',['../classsc__ast__matchers_1_1NetlistMatcher.html#aa77afa2735c6e5db7510630309fe32fe',1,'sc_ast_matchers::NetlistMatcher']]], - ['module_5fname_5f_10',['module_name_',['../classsystemc__clang_1_1ModuleInstance.html#a4ad8d0b6eaae49ffb0de670a8941890e',1,'systemc_clang::ModuleInstance']]], - ['module_5ftypes_11',['module_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a2befed09dbd2b87fcacb4180d3ce8050',1,'parselib::transforms::type_collector::TypeCollector']]], - ['module_5fvar_5ftype_12',['module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a850cf1bffa018941c8fb933d5442ffbf',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], - ['module_5fvars_13',['module_vars',['../classsystemc__hdl_1_1HDLMain.html#acbcdad1f238915a8940bf506877d582b',1,'systemc_hdl::HDLMain']]], - ['modules_5f_14',['modules_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#acdc5aec85f8992d45e5d515e27686cd8',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], - ['mutil_15',['mutil',['../classsystemc__hdl_1_1HDLMain.html#a438e4e1bbd3d3d4d29ccd70ea324528b',1,'systemc_hdl::HDLMain']]] + ['module_5farg_7',['module_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#a73d6f8bc6243a23ab8c29bc0ea6cd99f',1,'parselib::transforms::verilog_tranlation']]], + ['module_5finst_5farg_8',['module_inst_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#ac0902b3236a4f311b48a09e92d144da4',1,'parselib::transforms::verilog_tranlation']]], + ['module_5finstance_5flist_5f_9',['module_instance_list_',['../classsystemc__clang_1_1Model.html#ae3f1415377bb43a8cda4b0cbef328605',1,'systemc_clang::Model']]], + ['module_5finstances_5f_10',['module_instances_',['../classsystemc__clang_1_1Model.html#af69296c842ac8745cc924453f70a98ad',1,'systemc_clang::Model']]], + ['module_5fmatcher_5f_11',['module_matcher_',['../classsc__ast__matchers_1_1NetlistMatcher.html#aa77afa2735c6e5db7510630309fe32fe',1,'sc_ast_matchers::NetlistMatcher']]], + ['module_5fname_5f_12',['module_name_',['../classsystemc__clang_1_1ModuleInstance.html#a4ad8d0b6eaae49ffb0de670a8941890e',1,'systemc_clang::ModuleInstance']]], + ['module_5ftypes_13',['module_types',['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a2befed09dbd2b87fcacb4180d3ce8050',1,'parselib.transforms.type_collector.TypeCollector.module_types'],['../classparselib_1_1transforms_1_1type__collector_1_1TypeCollector.html#a4c04c7e57944f0b638eb7b437485a2b3',1,'parselib.transforms.type_collector.TypeCollector.module_types']]], + ['module_5fvar_5ftype_14',['module_var_type',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a850cf1bffa018941c8fb933d5442ffbf',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['module_5fvars_15',['module_vars',['../classsystemc__hdl_1_1HDLMain.html#acbcdad1f238915a8940bf506877d582b',1,'systemc_hdl::HDLMain']]], + ['modules_5f_16',['modules_',['../classsc__ast__matchers_1_1ModuleDeclarationMatcher.html#acdc5aec85f8992d45e5d515e27686cd8',1,'sc_ast_matchers::ModuleDeclarationMatcher']]], + ['mutil_17',['mutil',['../classsystemc__hdl_1_1HDLMain.html#a438e4e1bbd3d3d4d29ccd70ea324528b',1,'systemc_hdl::HDLMain']]] ]; diff --git a/search/variables_b.js b/search/variables_b.js index 83c78b50..697f61f6 100644 --- a/search/variables_b.js +++ b/search/variables_b.js @@ -1,11 +1,11 @@ var searchData= [ - ['name_0',['name',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a74fd072f16e39644d8fad44b23b26201',1,'systemc_hdl::HDLConstructorHcode::for_info_t::name'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a6433c8c7a670f83fdd248a01a9e46942',1,'parselib.transforms.node.TypeDefType.name'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#a1e3e7c439ede68d2de0bfcd4ecf64b76',1,'parselib.transforms.type_node.TypeNode.name']]], + ['name_0',['name',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a74fd072f16e39644d8fad44b23b26201',1,'systemc_hdl::HDLConstructorHcode::for_info_t::name'],['../classparselib_1_1transforms_1_1node_1_1TypeDefType.html#a6433c8c7a670f83fdd248a01a9e46942',1,'parselib.transforms.node.TypeDefType.name'],['../classparselib_1_1transforms_1_1passes_1_1PrettyPrintModule.html#a2d6fa65da51f4e2130efcef44394da89',1,'parselib.transforms.passes.PrettyPrintModule.name'],['../classparselib_1_1transforms_1_1type__node_1_1TypeNode.html#a1e3e7c439ede68d2de0bfcd4ecf64b76',1,'parselib.transforms.type_node.TypeNode.name']]], ['name_5f_1',['name_',['../classsystemc__clang_1_1EventDecl.html#a66e51ad163fd2172a9632b98be35c62b',1,'systemc_clang::EventDecl::name_'],['../classsystemc__clang_1_1InterfaceDecl.html#a4021bf768bd39f6fe1992fd928e87b9a',1,'systemc_clang::InterfaceDecl::name_'],['../classsystemc__clang_1_1NotifyCalls.html#ac53160a5973881e68fd83ae37dd027b2',1,'systemc_clang::NotifyCalls::name_']]], ['name_5fmapping_2',['name_mapping',['../classparselib_1_1primitives_1_1Primitive.html#ac0a083ff5577c920f1430cb248816150',1,'parselib::primitives::Primitive']]], ['needwaitswitchcase_3',['needwaitswitchcase',['../classsystemc__hdl_1_1HDLThread.html#ae3897a690e32c79981c8e025a94ce8bf',1,'systemc_hdl::HDLThread']]], ['nested_5fmodules_5f_4',['nested_modules_',['../classsystemc__clang_1_1ModuleInstance.html#a714bc3fd388def5531747edd6ab4d825',1,'systemc_clang::ModuleInstance']]], - ['nesting_5fassign_5',['nesting_assign',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#a3c82ee2896ae8064401f6eb1afc38a13',1,'parselib::transforms::comma_transformation::CommaTransformation']]], + ['nesting_5fassign_5',['nesting_assign',['../classparselib_1_1transforms_1_1comma__transformation_1_1CommaTransformation.html#acb980b30d7daee48a3e7f48abe3af31e',1,'parselib::transforms::comma_transformation::CommaTransformation']]], ['newn_6',['newn',['../structhnode_1_1names__t.html#afc0e10eb6caf6805206423e99b2a8650',1,'hnode::names_t']]], ['next_5fstate_5f_7',['next_state_',['../classsystemc__clang_1_1SplitCFGBlock.html#abc0367134fc9022762180d095b69878e',1,'systemc_clang::SplitCFGBlock']]], ['next_5fstate_5fcount_5f_8',['next_state_count_',['../classsystemc__clang_1_1SplitCFG.html#a00ed4b691aec8b1ac5ab9dc7cb12e153',1,'systemc_clang::SplitCFG']]], @@ -14,10 +14,11 @@ var searchData= ['nextwaitctr_5fstring_11',['nextwaitctr_string',['../classsystemc__hdl_1_1HDLThread.html#a0922af665015123ba6446d11f23416a3',1,'systemc_hdl::HDLThread']]], ['nodes_5fbft_5f_12',['nodes_bft_',['../classsystemc__clang_1_1Tree.html#a3ec4582fc73412f591f07e4a9dec78c7',1,'systemc_clang::Tree']]], ['nodes_5fdft_5f_13',['nodes_dft_',['../classsystemc__clang_1_1Tree.html#a1ada4db9ba8e2ef9f06fc3c86910bf07',1,'systemc_clang::Tree::nodes_dft_'],['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#abb3cce3068aa5c4cc16e2f477dac9aba',1,'systemc_clang::Tree::const_dft_iterator::nodes_dft_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ad6ca778b8d2ab673f0aa7703f1ed0f39',1,'systemc_clang::Tree::dft_iterator::nodes_dft_']]], - ['notify_5fcall_5f_14',['notify_call_',['../classsystemc__clang_1_1FindNotify.html#a95e9c0fdda39d6f0ced21e3f8d2eb844',1,'systemc_clang::FindNotify']]], - ['notify_5fcall_5flist_5f_15',['notify_call_list_',['../classsystemc__clang_1_1FindNotify.html#a3a49ad8c12643d399b9e1c76a5d30979',1,'systemc_clang::FindNotify::notify_call_list_'],['../classsystemc__clang_1_1NotifyCalls.html#abea71681d233e979c80c5f615172f606',1,'systemc_clang::NotifyCalls::notify_call_list_']]], - ['ns_16',['ns',['../classhnode_1_1newname__map__t.html#a769e4c6b63efb3986cd805b4674bda62',1,'hnode::newname_map_t']]], - ['ns_5fname_17',['ns_name',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#a3a932cb54267fe68b5ffcbca5b77ef62',1,'sc_ast_matchers::utils::NamespaceMatcher']]], - ['numstates_18',['numstates',['../classsystemc__hdl_1_1HDLThread.html#a3594305d96a526ef01ec166bc43d9e95',1,'systemc_hdl::HDLThread']]], - ['numstr_19',['numstr',['../classhnode_1_1util.html#aa328c7a165b1c61c2f40826d13a98391',1,'hnode::util']]] + ['non_5fthread_5fcomb_5fsignals_14',['non_thread_comb_signals',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#aa17594f149f5bb2f6dfddea8c81eeb87',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.non_thread_comb_signals'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a3b54caed7e4ef1c781e1947c8c13fcb9',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.non_thread_comb_signals']]], + ['notify_5fcall_5f_15',['notify_call_',['../classsystemc__clang_1_1FindNotify.html#a95e9c0fdda39d6f0ced21e3f8d2eb844',1,'systemc_clang::FindNotify']]], + ['notify_5fcall_5flist_5f_16',['notify_call_list_',['../classsystemc__clang_1_1FindNotify.html#a3a49ad8c12643d399b9e1c76a5d30979',1,'systemc_clang::FindNotify::notify_call_list_'],['../classsystemc__clang_1_1NotifyCalls.html#abea71681d233e979c80c5f615172f606',1,'systemc_clang::NotifyCalls::notify_call_list_']]], + ['ns_17',['ns',['../classhnode_1_1newname__map__t.html#a769e4c6b63efb3986cd805b4674bda62',1,'hnode::newname_map_t']]], + ['ns_5fname_18',['ns_name',['../classsc__ast__matchers_1_1utils_1_1NamespaceMatcher.html#a3a932cb54267fe68b5ffcbca5b77ef62',1,'sc_ast_matchers::utils::NamespaceMatcher']]], + ['numstates_19',['numstates',['../classsystemc__hdl_1_1HDLThread.html#a3594305d96a526ef01ec166bc43d9e95',1,'systemc_hdl::HDLThread']]], + ['numstr_20',['numstr',['../classhnode_1_1util.html#aa328c7a165b1c61c2f40826d13a98391',1,'hnode::util']]] ]; diff --git a/search/variables_c.js b/search/variables_c.js index 7f2dd24a..4bd1064c 100644 --- a/search/variables_c.js +++ b/search/variables_c.js @@ -2,13 +2,15 @@ var searchData= [ ['ointerfaces_5f_0',['ointerfaces_',['../classsystemc__clang_1_1ModuleInstance.html#a36c21b835e95ca575f51daab77941f5d',1,'systemc_clang::ModuleInstance']]], ['oldn_1',['oldn',['../structhnode_1_1names__t.html#ae41f95f95e7e0b72c4f4c399d72dd7a5',1,'hnode::names_t']]], - ['orig_5fname_2',['orig_name',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a620d1552b0d4ae7bc619975f2acc79b1',1,'parselib::transforms::name_stub::ProcessVarNameStub']]], - ['os_5f_3',['os_',['../classsystemc__clang_1_1FindConstructor.html#ab0a16d0f1e9a7b0543f844f07a3e5a67',1,'systemc_clang::FindConstructor::os_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a693c60bf6a806b7323ce13ed1070230f',1,'systemc_clang::FindEntryFunctions::os_'],['../classsystemc__clang_1_1FindEvents.html#a8428236a092c06656bf5bd959fdeed56',1,'systemc_clang::FindEvents::os_'],['../classsystemc__clang_1_1FindNotify.html#a81fd676da5acac49163fe4a656de435d',1,'systemc_clang::FindNotify::os_'],['../classsystemc__clang_1_1FindSCMain.html#a0b38e2c16d8375b57a81d3e598249226',1,'systemc_clang::FindSCMain::os_'],['../classsystemc__clang_1_1FindSimTime.html#ad0898032a7651c1df90d07d568fca9c5',1,'systemc_clang::FindSimTime::os_'],['../classsystemc__clang_1_1FindWait.html#a0dc005c358572d06b0b9b4d5945c832b',1,'systemc_clang::FindWait::os_'],['../classsystemc__clang_1_1SystemCConsumer.html#a4f19cdcdc826eced7e9bdcd8890b4b85',1,'systemc_clang::SystemCConsumer::os_']]], - ['ostreamports_5f_4',['ostreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a15889d9dba330ccc10abdfa316fffa93',1,'systemc_clang::ModuleInstance']]], - ['other_5ffields_5f_5',['other_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#aad7fa3383018549914978aa2ae70cbe0',1,'sc_ast_matchers::PortMatcher::other_fields_'],['../classsystemc__clang_1_1ModuleInstance.html#a4e5b5f3ae512db4da7e512eb4c8d88bb',1,'systemc_clang::ModuleInstance::other_fields_']]], - ['other_5ffunction_5flist_5f_6',['other_function_list_',['../classsystemc__clang_1_1FindEntryFunctions.html#a37ec517421a5e437e5cad9ec6697db55',1,'systemc_clang::FindEntryFunctions']]], - ['out_5fports_5f_7',['out_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6c758be3a03eda8017b63e7b1d26005f',1,'sc_ast_matchers::PortMatcher::out_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a461e9a2551826cec1646a13a94caa2d1',1,'systemc_clang::ModuleInstance::out_ports_']]], - ['outstream_5fports_5f_8',['outstream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a1871cef913dd1e3f60e698b9b70e429e',1,'sc_ast_matchers::PortMatcher']]], - ['outter_5ftop_9',['outter_top',['../classsystemc__clang_1_1SplitCFG.html#acbec6137b922bcae529fd3da1058a102',1,'systemc_clang::SplitCFG']]], - ['overridden_5fmethod_5fmap_5f_10',['overridden_method_map_',['../classsystemc__hdl_1_1HDLBody.html#a372452e6149f7f88239e7477bc5c0778',1,'systemc_hdl::HDLBody::overridden_method_map_'],['../classsystemc__hdl_1_1HDLThread.html#ab6a289b221a7cce91778caae55598d51',1,'systemc_hdl::HDLThread::overridden_method_map_']]] + ['only_5fsensevar_2',['only_sensevar',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a769c10f542ab92c5566b756befb3cac3',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['orig_5fname_3',['orig_name',['../classparselib_1_1transforms_1_1name__stub_1_1ProcessVarNameStub.html#a620d1552b0d4ae7bc619975f2acc79b1',1,'parselib::transforms::name_stub::ProcessVarNameStub']]], + ['os_5f_4',['os_',['../classsystemc__clang_1_1FindConstructor.html#ab0a16d0f1e9a7b0543f844f07a3e5a67',1,'systemc_clang::FindConstructor::os_'],['../classsystemc__clang_1_1FindEntryFunctions.html#a693c60bf6a806b7323ce13ed1070230f',1,'systemc_clang::FindEntryFunctions::os_'],['../classsystemc__clang_1_1FindEvents.html#a8428236a092c06656bf5bd959fdeed56',1,'systemc_clang::FindEvents::os_'],['../classsystemc__clang_1_1FindNotify.html#a81fd676da5acac49163fe4a656de435d',1,'systemc_clang::FindNotify::os_'],['../classsystemc__clang_1_1FindSCMain.html#a0b38e2c16d8375b57a81d3e598249226',1,'systemc_clang::FindSCMain::os_'],['../classsystemc__clang_1_1FindSimTime.html#ad0898032a7651c1df90d07d568fca9c5',1,'systemc_clang::FindSimTime::os_'],['../classsystemc__clang_1_1FindWait.html#a0dc005c358572d06b0b9b4d5945c832b',1,'systemc_clang::FindWait::os_'],['../classsystemc__clang_1_1SystemCConsumer.html#a4f19cdcdc826eced7e9bdcd8890b4b85',1,'systemc_clang::SystemCConsumer::os_']]], + ['ostreamports_5f_5',['ostreamports_',['../classsystemc__clang_1_1ModuleInstance.html#a15889d9dba330ccc10abdfa316fffa93',1,'systemc_clang::ModuleInstance']]], + ['other_5ffields_5f_6',['other_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#aad7fa3383018549914978aa2ae70cbe0',1,'sc_ast_matchers::PortMatcher::other_fields_'],['../classsystemc__clang_1_1ModuleInstance.html#a4e5b5f3ae512db4da7e512eb4c8d88bb',1,'systemc_clang::ModuleInstance::other_fields_']]], + ['other_5ffunction_5flist_5f_7',['other_function_list_',['../classsystemc__clang_1_1FindEntryFunctions.html#a37ec517421a5e437e5cad9ec6697db55',1,'systemc_clang::FindEntryFunctions']]], + ['out_5fports_5f_8',['out_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a6c758be3a03eda8017b63e7b1d26005f',1,'sc_ast_matchers::PortMatcher::out_ports_'],['../classsystemc__clang_1_1ModuleInstance.html#a461e9a2551826cec1646a13a94caa2d1',1,'systemc_clang::ModuleInstance::out_ports_']]], + ['output_9',['OUTPUT',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#a2551561245def398212743bf16bd70d1',1,'parselib::transforms::portbinding_recollect::PortDirectionCollector']]], + ['outstream_5fports_5f_10',['outstream_ports_',['../classsc__ast__matchers_1_1PortMatcher.html#a1871cef913dd1e3f60e698b9b70e429e',1,'sc_ast_matchers::PortMatcher']]], + ['outter_5ftop_5f_11',['outter_top_',['../classsystemc__clang_1_1SplitCFG.html#a91ecfe5e4e0c41b68d6641f65e04b034',1,'systemc_clang::SplitCFG']]], + ['overridden_5fmethod_5fmap_5f_12',['overridden_method_map_',['../classsystemc__hdl_1_1HDLBody.html#a372452e6149f7f88239e7477bc5c0778',1,'systemc_hdl::HDLBody::overridden_method_map_'],['../classsystemc__hdl_1_1HDLThread.html#ab6a289b221a7cce91778caae55598d51',1,'systemc_hdl::HDLThread::overridden_method_map_']]] ]; diff --git a/search/variables_d.js b/search/variables_d.js index e80d4aab..0a23ff37 100644 --- a/search/variables_d.js +++ b/search/variables_d.js @@ -15,16 +15,20 @@ var searchData= ['port_5fbinding_5fmodule_12',['port_binding_module',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#ace54d197952148186f3e9f4973847700',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], ['port_5fbindings_13',['port_bindings',['../classparselib_1_1primitives_1_1vmodule.html#a9151fa6eaaa337df4058ffc371bb711b',1,'parselib::primitives::vmodule']]], ['port_5fbindings_5f_14',['port_bindings_',['../classsystemc__clang_1_1ModuleInstance.html#a6ed65413c2d02d22276cdc23688f4134',1,'systemc_clang::ModuleInstance']]], - ['port_5fname_5f_15',['port_name_',['../classsystemc__clang_1_1PortDecl.html#a03d8aad20ac4114a3170bd6c6173b312',1,'systemc_clang::PortDecl']]], - ['port_5fsignal_5finstance_5fmap_5f_16',['port_signal_instance_map_',['../classsystemc__clang_1_1Model.html#a6b9d98d87c5224778046c38894ba98a3',1,'systemc_clang::Model']]], - ['port_5fsignal_5fmap_5f_17',['port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a1a31fdb6341bd370b5aac69ef6b4d9e9',1,'systemc_clang::FindNetlist::port_signal_map_'],['../classsystemc__clang_1_1Model.html#a249409917ac83aba57dc8096be773eb7',1,'systemc_clang::Model::port_signal_map_'],['../classsystemc__clang_1_1ModuleInstance.html#a2a3ca1b37000d95cc23895f58a154a6a',1,'systemc_clang::ModuleInstance::port_signal_map_']]], - ['pos_5f_18',['pos_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#ae1b9d5be9e21bb0bb532efa75c561512',1,'systemc_clang::Tree::const_dft_iterator::pos_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ab343ecc0095f49f60b728bd353bd8fc5',1,'systemc_clang::Tree::dft_iterator::pos_']]], - ['predecessors_5f_19',['predecessors_',['../classsystemc__clang_1_1SplitCFGBlock.html#a44eb6a516f849cc6714454dcb0a0a732',1,'systemc_clang::SplitCFGBlock']]], - ['prefix_20',['prefix',['../classhnode_1_1name__serve.html#a7e072d7ea45dbde30c7d005750ada345',1,'hnode::name_serve::prefix'],['../classparselib_1_1primitives_1_1TypeContext.html#a4249f6dbbfeb1e5edf12228744de0ad0',1,'parselib.primitives.TypeContext.prefix']]], - ['primitive_5ftype_5fnames_21',['primitive_type_names',['../classparselib_1_1primitives_1_1Primitive.html#af371635dccfb2a6eaf6a5ffd19cf1acb',1,'parselib::primitives::Primitive']]], - ['proc_5ftype_5f_22',['proc_type_',['../classsystemc__clang_1_1FindEntryFunctions.html#a1e84094f47e97c948c674a631a74b6f6',1,'systemc_clang::FindEntryFunctions']]], - ['process_5fhandle_5f_23',['process_handle_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a5c7944996435b7e2b8e29f6465723119',1,'sc_ast_matchers::SensitivityMatcher']]], - ['process_5fmap_5f_24',['process_map_',['../classsystemc__clang_1_1ModuleInstance.html#aa99400067cfaa6d38bb63f62bf785e1d',1,'systemc_clang::ModuleInstance']]], - ['process_5fme_5f_25',['process_me_',['../classsystemc__clang_1_1FindEntryFunctions.html#a31a70993893595f2c1ccb0aca4ce9c0d',1,'systemc_clang::FindEntryFunctions']]], - ['process_5ftype_5f_26',['process_type_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a357389b54a1919c435f03f81f4478f51',1,'systemc_clang::EntryFunctionContainer::process_type_'],['../classsystemc__clang_1_1ProcessDecl.html#a1fcc5baea64e5913f6b4903c734b1a10',1,'systemc_clang::ProcessDecl::process_type_']]] + ['port_5fdecl_5finst_5farg_15',['port_decl_inst_arg',['../namespaceparselib_1_1transforms_1_1verilog__tranlation.html#abb6e14b4daffc2dd657ceb786e3037d3',1,'parselib::transforms::verilog_tranlation']]], + ['port_5fmeta_16',['port_meta',['../classparselib_1_1transforms_1_1interface__generation_1_1InterfaceReplacement.html#a7ca654fcb267c350d4572cc1c1b369b5',1,'parselib.transforms.interface_generation.InterfaceReplacement.port_meta'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1LowerComplexPort.html#a0febdb303575d09d6632631beba576d6',1,'parselib.transforms.portbinding_recollect.LowerComplexPort.port_meta']]], + ['port_5fname_5f_17',['port_name_',['../classsystemc__clang_1_1PortDecl.html#a03d8aad20ac4114a3170bd6c6173b312',1,'systemc_clang::PortDecl']]], + ['port_5fsignal_5finstance_5fmap_5f_18',['port_signal_instance_map_',['../classsystemc__clang_1_1Model.html#a6b9d98d87c5224778046c38894ba98a3',1,'systemc_clang::Model']]], + ['port_5fsignal_5fmap_5f_19',['port_signal_map_',['../classsystemc__clang_1_1FindNetlist.html#a1a31fdb6341bd370b5aac69ef6b4d9e9',1,'systemc_clang::FindNetlist::port_signal_map_'],['../classsystemc__clang_1_1Model.html#a249409917ac83aba57dc8096be773eb7',1,'systemc_clang::Model::port_signal_map_'],['../classsystemc__clang_1_1ModuleInstance.html#a2a3ca1b37000d95cc23895f58a154a6a',1,'systemc_clang::ModuleInstance::port_signal_map_']]], + ['ports_20',['ports',['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortbindingRecollect.html#a144c00cb1715a1f20d6855ee13527923',1,'parselib.transforms.portbinding_recollect.PortbindingRecollect.ports'],['../classparselib_1_1transforms_1_1portbinding__recollect_1_1PortDirectionCollector.html#ae17a969cac0ba237dc5b7fcadd03c76d',1,'parselib.transforms.portbinding_recollect.PortDirectionCollector.ports']]], + ['pos_5f_21',['pos_',['../classsystemc__clang_1_1Tree_1_1const__dft__iterator.html#ae1b9d5be9e21bb0bb532efa75c561512',1,'systemc_clang::Tree::const_dft_iterator::pos_'],['../classsystemc__clang_1_1Tree_1_1dft__iterator.html#ab343ecc0095f49f60b728bd353bd8fc5',1,'systemc_clang::Tree::dft_iterator::pos_']]], + ['predecessors_5f_22',['predecessors_',['../classsystemc__clang_1_1SplitCFGBlock.html#a44eb6a516f849cc6714454dcb0a0a732',1,'systemc_clang::SplitCFGBlock']]], + ['prefix_23',['prefix',['../classhnode_1_1name__serve.html#a7e072d7ea45dbde30c7d005750ada345',1,'hnode::name_serve::prefix'],['../classparselib_1_1primitives_1_1TypeContext.html#a4249f6dbbfeb1e5edf12228744de0ad0',1,'parselib.primitives.TypeContext.prefix']]], + ['primitive_5ftype_5fnames_24',['primitive_type_names',['../classparselib_1_1primitives_1_1Primitive.html#af371635dccfb2a6eaf6a5ffd19cf1acb',1,'parselib::primitives::Primitive']]], + ['proc_5ftype_5f_25',['proc_type_',['../classsystemc__clang_1_1FindEntryFunctions.html#a1e84094f47e97c948c674a631a74b6f6',1,'systemc_clang::FindEntryFunctions']]], + ['process_5fhandle_5f_26',['process_handle_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#a5c7944996435b7e2b8e29f6465723119',1,'sc_ast_matchers::SensitivityMatcher']]], + ['process_5fmap_5f_27',['process_map_',['../classsystemc__clang_1_1ModuleInstance.html#aa99400067cfaa6d38bb63f62bf785e1d',1,'systemc_clang::ModuleInstance']]], + ['process_5fme_5f_28',['process_me_',['../classsystemc__clang_1_1FindEntryFunctions.html#a31a70993893595f2c1ccb0aca4ce9c0d',1,'systemc_clang::FindEntryFunctions']]], + ['process_5ftype_5f_29',['process_type_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a357389b54a1919c435f03f81f4478f51',1,'systemc_clang::EntryFunctionContainer::process_type_'],['../classsystemc__clang_1_1ProcessDecl.html#a1fcc5baea64e5913f6b4903c734b1a10',1,'systemc_clang::ProcessDecl::process_type_']]], + ['processes_30',['processes',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#a2e4e572b7cd2be78f98d63ebad891b46',1,'parselib::transforms::sensevar_movement::SensevarMovement']]] ]; diff --git a/search/variables_f.js b/search/variables_f.js index bca593e8..4ea63704 100644 --- a/search/variables_f.js +++ b/search/variables_f.js @@ -9,33 +9,35 @@ var searchData= ['sccfg_5f_6',['sccfg_',['../classsystemc__clang_1_1SplitCFG.html#aacdd7446471314c5e68b284b693482c7',1,'systemc_clang::SplitCFG']]], ['scfg_7',['scfg',['../classsystemc__hdl_1_1HDLThread.html#a4de9d013449297155c342dea6fec8d57',1,'systemc_hdl::HDLThread']]], ['scmain_5ffunction_5fdecl_5f_8',['scmain_function_decl_',['../classsystemc__clang_1_1Model.html#a472229d8f8fe429335a85d95e61a4c46',1,'systemc_clang::Model']]], - ['senselist_9',['senselist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a631a23fbc6998274af5f3ab977a1ff05',1,'parselib::transforms::verilog_tranlation::VerilogTranslationPass']]], + ['senselist_9',['senselist',['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#ae1bb8b84621e89390fd6743220ddc440',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselist'],['../classparselib_1_1transforms_1_1verilog__tranlation_1_1VerilogTranslationPass.html#a2c98f3d82bc3672c1bdd4a7adf2c5250',1,'parselib.transforms.verilog_tranlation.VerilogTranslationPass.senselist']]], ['sensemap_5f_10',['senseMap_',['../classsystemc__clang_1_1EntryFunctionContainer.html#a5bff0871f39f8278dc7d3d1aabff1fa7',1,'systemc_clang::EntryFunctionContainer']]], - ['sensitivity_5f_11',['sensitivity_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afcdb6aa9e91e267172669333602d17aa',1,'sc_ast_matchers::SensitivityMatcher']]], - ['sep_12',['sep',['../classparselib_1_1primitives_1_1TypeContext.html#a1feb47a16996ff69784488b87ed014ab',1,'parselib::primitives::TypeContext']]], - ['sgvisited_13',['SGVisited',['../classsystemc__hdl_1_1HDLThread.html#a9ebfb8e43f16d77cdd947cb2b87db7a9',1,'systemc_hdl::HDLThread']]], - ['shadowstring_14',['shadowstring',['../classsystemc__hdl_1_1HDLThread.html#a805427ad6d48d1739c250b00fb26577f',1,'systemc_hdl::HDLThread']]], - ['signal_5ffields_5f_15',['signal_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#abf60489f8beb59c611631acf505ec127',1,'sc_ast_matchers::PortMatcher']]], - ['signals_5f_16',['signals_',['../classsystemc__clang_1_1ModuleInstance.html#ac1a7f1df5c43344d90f00fbb62671fc5',1,'systemc_clang::ModuleInstance']]], - ['simulation_5ftime_5f_17',['simulation_time_',['../classsystemc__clang_1_1FindSimTime.html#ab11f4f33eae3f1b17de80a2b8f506c84',1,'systemc_clang::FindSimTime::simulation_time_'],['../classsystemc__clang_1_1Model.html#aba295d5c65270b693dcac7320e6815a5',1,'systemc_clang::Model::simulation_time_']]], - ['sm_5f_18',['sm_',['../classsystemc__clang_1_1SystemCConsumer.html#a22da7bc944c40b4a978f3a62c1b64df6',1,'systemc_clang::SystemCConsumer']]], - ['split_5fblock_5f_19',['split_block_',['../structsystemc__clang_1_1SupplementaryInfo.html#aec268784a98bdc5417a97e5758d4273f',1,'systemc_clang::SupplementaryInfo::split_block_'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#adbbf63b5ebafeeae12619db93b7b6c9f',1,'systemc_clang::SplitCFGPathInfo::split_block_']]], - ['split_5fblocks_5f_20',['split_blocks_',['../classsystemc__clang_1_1SplitCFG.html#a8bbdfe75dba470500b7d606bf991f998',1,'systemc_clang::SplitCFG']]], - ['split_5felements_21',['split_elements',['../classsystemc__clang_1_1SplitCFG.html#a9f3af899105c9469955729e82fb5338b',1,'systemc_clang::SplitCFG']]], - ['stack_5fcurrent_5fnode_5f_22',['stack_current_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a3e3a6f5f7cfb2ad47fb77a4253794d1b',1,'systemc_clang::FindTemplateTypes']]], - ['state_5fstring_23',['state_string',['../classsystemc__hdl_1_1HDLThread.html#a52b80c7aab89c6e0c8b9ef813ef1c46f',1,'systemc_hdl::HDLThread']]], - ['statestringsymbol_24',['statestringsymbol',['../classsystemc__hdl_1_1HDLThread.html#a678a0d04f6749cb5e3f6cdc92d181baf',1,'systemc_hdl::HDLThread']]], - ['step_25',['step',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a2bb0bae84cb81c8fb5ae2e6584ce6884',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], - ['structure_26',['structure',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a6c6b7be784c7af030e25fb25f5fd8f60',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], - ['sub_5fpath_5fto_5fspecial_5fnode_5f_27',['sub_path_to_special_node_',['../classsystemc__clang_1_1SplitCFG.html#a03631649d4bd70bef9cef61459eeddd5',1,'systemc_clang::SplitCFG']]], - ['submodules_5f_28',['submodules_',['../classsc__ast__matchers_1_1PortMatcher.html#a3bf521271c460c87b76f2e4dcce26463',1,'sc_ast_matchers::PortMatcher']]], - ['successors_5f_29',['successors_',['../classsystemc__clang_1_1SplitCFGBlock.html#ac96deb5f74e80b56f48905bb8f9208c7',1,'systemc_clang::SplitCFGBlock']]], - ['succs_5f_30',['succs_',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ad6649db3e44d213822d7576796453fc6',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], - ['suffix_31',['suffix',['../classparselib_1_1primitives_1_1TypeContext.html#a79b971bea16103a8b36c771a46f06775',1,'parselib::primitives::TypeContext']]], - ['suscfgstatemap_32',['susCFGStateMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a6867fb869f7dc5ff46912696f2a7c64a',1,'systemc_clang::SuspensionAutomata']]], - ['suscfgsuccidmap_33',['susCFGSuccIDMap',['../classsystemc__clang_1_1SuspensionAutomata.html#ad9ba3ec9309524453b635103a7719615',1,'systemc_clang::SuspensionAutomata']]], - ['systemc_5fclang_5fbinary_34',['systemc_clang_binary',['../classsystemc-clang_1_1SystemCClang.html#a49f1bc53f376bd0b2405fee88e4732a8',1,'systemc-clang::SystemCClang']]], - ['systemc_5fmodel_5f_35',['systemc_model_',['../classsystemc__clang_1_1SystemCConsumer.html#a9b479529e66790c1ff22460dfcff871f',1,'systemc_clang::SystemCConsumer']]], - ['systemc_5fpath_36',['systemc_path',['../classsystemc-clang_1_1SystemCClang.html#a97d9c2fdeb4630bdc9e874333d81de9d',1,'systemc-clang::SystemCClang']]], - ['sz_37',['sz',['../classparselib_1_1primitives_1_1array.html#af0808720fab5cd3080c00ae0da5d64c7',1,'parselib::primitives::array']]] + ['sensevar_5fdict_11',['sensevar_dict',['../classparselib_1_1transforms_1_1sensevar__movement_1_1SensevarMovement.html#aaccc73d56cc55e83c224a2acb3a8231b',1,'parselib::transforms::sensevar_movement::SensevarMovement']]], + ['sensitivity_5f_12',['sensitivity_',['../classsc__ast__matchers_1_1SensitivityMatcher.html#afcdb6aa9e91e267172669333602d17aa',1,'sc_ast_matchers::SensitivityMatcher']]], + ['sep_13',['sep',['../classparselib_1_1primitives_1_1TypeContext.html#a1feb47a16996ff69784488b87ed014ab',1,'parselib::primitives::TypeContext']]], + ['sgvisited_14',['SGVisited',['../classsystemc__hdl_1_1HDLThread.html#a9ebfb8e43f16d77cdd947cb2b87db7a9',1,'systemc_hdl::HDLThread']]], + ['shadowstring_15',['shadowstring',['../classsystemc__hdl_1_1HDLThread.html#a805427ad6d48d1739c250b00fb26577f',1,'systemc_hdl::HDLThread']]], + ['signal_5ffields_5f_16',['signal_fields_',['../classsc__ast__matchers_1_1PortMatcher.html#abf60489f8beb59c611631acf505ec127',1,'sc_ast_matchers::PortMatcher']]], + ['signals_5f_17',['signals_',['../classsystemc__clang_1_1ModuleInstance.html#ac1a7f1df5c43344d90f00fbb62671fc5',1,'systemc_clang::ModuleInstance']]], + ['simulation_5ftime_5f_18',['simulation_time_',['../classsystemc__clang_1_1FindSimTime.html#ab11f4f33eae3f1b17de80a2b8f506c84',1,'systemc_clang::FindSimTime::simulation_time_'],['../classsystemc__clang_1_1Model.html#aba295d5c65270b693dcac7320e6815a5',1,'systemc_clang::Model::simulation_time_']]], + ['sm_5f_19',['sm_',['../classsystemc__clang_1_1SystemCConsumer.html#a22da7bc944c40b4a978f3a62c1b64df6',1,'systemc_clang::SystemCConsumer']]], + ['split_5fblock_5f_20',['split_block_',['../structsystemc__clang_1_1SupplementaryInfo.html#aec268784a98bdc5417a97e5758d4273f',1,'systemc_clang::SupplementaryInfo::split_block_'],['../classsystemc__clang_1_1SplitCFGPathInfo.html#adbbf63b5ebafeeae12619db93b7b6c9f',1,'systemc_clang::SplitCFGPathInfo::split_block_']]], + ['split_5fblocks_5f_21',['split_blocks_',['../classsystemc__clang_1_1SplitCFG.html#a8bbdfe75dba470500b7d606bf991f998',1,'systemc_clang::SplitCFG']]], + ['split_5felements_22',['split_elements',['../classsystemc__clang_1_1SplitCFG.html#a9f3af899105c9469955729e82fb5338b',1,'systemc_clang::SplitCFG']]], + ['stack_23',['stack',['../classparselib_1_1utils_1_1ContextManager.html#a6dcedcd9c5787790b0d3775653301e63',1,'parselib::utils::ContextManager']]], + ['stack_5fcurrent_5fnode_5f_24',['stack_current_node_',['../classsystemc__clang_1_1FindTemplateTypes.html#a3e3a6f5f7cfb2ad47fb77a4253794d1b',1,'systemc_clang::FindTemplateTypes']]], + ['state_5fstring_25',['state_string',['../classsystemc__hdl_1_1HDLThread.html#a52b80c7aab89c6e0c8b9ef813ef1c46f',1,'systemc_hdl::HDLThread']]], + ['statestringsymbol_26',['statestringsymbol',['../classsystemc__hdl_1_1HDLThread.html#a678a0d04f6749cb5e3f6cdc92d181baf',1,'systemc_hdl::HDLThread']]], + ['step_27',['step',['../structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html#a2bb0bae84cb81c8fb5ae2e6584ce6884',1,'systemc_hdl::HDLConstructorHcode::for_info_t']]], + ['structure_28',['structure',['../classparselib_1_1transforms_1_1literal__expansion_1_1LiteralExpansion.html#a6c6b7be784c7af030e25fb25f5fd8f60',1,'parselib::transforms::literal_expansion::LiteralExpansion']]], + ['sub_5fpath_5fto_5fspecial_5fnode_5f_29',['sub_path_to_special_node_',['../classsystemc__clang_1_1SplitCFG.html#a03631649d4bd70bef9cef61459eeddd5',1,'systemc_clang::SplitCFG']]], + ['submodules_5f_30',['submodules_',['../classsc__ast__matchers_1_1PortMatcher.html#a3bf521271c460c87b76f2e4dcce26463',1,'sc_ast_matchers::PortMatcher']]], + ['successors_5f_31',['successors_',['../classsystemc__clang_1_1SplitCFGBlock.html#ac96deb5f74e80b56f48905bb8f9208c7',1,'systemc_clang::SplitCFGBlock']]], + ['succs_5f_32',['succs_',['../structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html#ad6649db3e44d213822d7576796453fc6',1,'systemc_clang::SplitCFGBlock::SuccessorIterator']]], + ['suffix_33',['suffix',['../classparselib_1_1primitives_1_1TypeContext.html#a79b971bea16103a8b36c771a46f06775',1,'parselib::primitives::TypeContext']]], + ['suscfgstatemap_34',['susCFGStateMap',['../classsystemc__clang_1_1SuspensionAutomata.html#a6867fb869f7dc5ff46912696f2a7c64a',1,'systemc_clang::SuspensionAutomata']]], + ['suscfgsuccidmap_35',['susCFGSuccIDMap',['../classsystemc__clang_1_1SuspensionAutomata.html#ad9ba3ec9309524453b635103a7719615',1,'systemc_clang::SuspensionAutomata']]], + ['systemc_5fclang_5fbinary_36',['systemc_clang_binary',['../classsystemc-clang_1_1SystemCClang.html#a49f1bc53f376bd0b2405fee88e4732a8',1,'systemc-clang::SystemCClang']]], + ['systemc_5fmodel_5f_37',['systemc_model_',['../classsystemc__clang_1_1SystemCConsumer.html#a9b479529e66790c1ff22460dfcff871f',1,'systemc_clang::SystemCConsumer']]], + ['systemc_5fpath_38',['systemc_path',['../classsystemc-clang_1_1SystemCClang.html#a97d9c2fdeb4630bdc9e874333d81de9d',1,'systemc-clang::SystemCClang']]], + ['sz_39',['sz',['../classparselib_1_1primitives_1_1array.html#af0808720fab5cd3080c00ae0da5d64c7',1,'parselib::primitives::array']]] ]; diff --git a/sensevar__movement_8py.html b/sensevar__movement_8py.html new file mode 100644 index 00000000..ea6f99d4 --- /dev/null +++ b/sensevar__movement_8py.html @@ -0,0 +1,122 @@ + + + + + + + +systemc-clang: plugins/hdl/parselib/transforms/sensevar_movement.py File Reference + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+ +
sensevar_movement.py File Reference
+
+
+ +

Go to the source code of this file.

+ + + + +

+Classes

class  parselib.transforms.sensevar_movement.SensevarMovement
 
+ + + + + + + +

+Namespaces

namespace  parselib
 
namespace  parselib.transforms
 
namespace  parselib.transforms.sensevar_movement
 
+
+ + +
+ + diff --git a/sensevar__movement_8py_source.html b/sensevar__movement_8py_source.html new file mode 100644 index 00000000..cb663087 --- /dev/null +++ b/sensevar__movement_8py_source.html @@ -0,0 +1,263 @@ + + + + + + + +systemc-clang: plugins/hdl/parselib/transforms/sensevar_movement.py Source File + + + + + + + + + + + + + +
+
+ + + + + + +
+
systemc-clang 2.0.0 +
+
Parsing SystemC constructs
+
+
+ + + + + + + + + + +
+
+ + +
+
+
+
+
+
Loading...
+
Searching...
+
No Matches
+
+
+
+
+ + +
+
+
+
sensevar_movement.py
+
+
+Go to the documentation of this file.
1from lark import Tree
+
2from parselib.transforms import TopDown
+
3from parselib.transforms.node import TypeDefType
+
4from ..utils import dprint, is_tree_type, get_ids_in_tree_types, get_ids_in_tree, alternate_ids, set_ids_in_tree_dfs
+
5from pprint import pprint
+
6from copy import deepcopy
+
7
+
8
+
+ +
10 """This pass moves sensevar declaration within a for loop to the top of the module,
+
11 and generate a generate block for each sensevar"""
+
+
12 def __init__(self):
+
13 super().__init__()
+
14 self.is_in_initblock = False
+
15 self.is_for_stmt = False
+
16 self.only_sensevar = False
+
17 self.current_for_stmt = None
+
18 self.current_module = None
+
19 self.initblock_vardecls = []
+
20
+
+
+
21 def __decl_referred_in_sensvar(self, sensvar, vardecl):
+
22 var_ids = get_ids_in_tree_types(vardecl, ['vardeclrn'])
+
23 sensvar_ids = get_ids_in_tree_types(sensvar, ['hvarref'])
+
24 if set(var_ids).intersection(set(sensvar_ids)):
+
25 return True
+
26 return False
+
27
+
+
+
28 def __create_sensevar_generate_block(self, tree):
+
29 assert is_tree_type(tree, "hmodule"), "tree should be a hmodule when creating generate blocks for sensitivity variables"
+
30 if self.sensevar_dict == {}: return
+
31 genblk = Tree("hgenerateblock", [ ])
+
32 external_decl = set()
+
33 for_list = []
+
34 for sensvar, (process, for_stmt) in self.sensevar_dict.items():
+
35 # create a generate block for each sensevar
+
36 for vardecl in self.initblock_vardecls:
+
37 if self.__decl_referred_in_sensvar(sensvar, vardecl):
+
38 external_decl.add(vardecl)
+
39
+
40 process_node = self.processes.get(process, None)
+
41 assert process_node is not None, f"sensvar {sensvar} defined for unknown process {process}"
+
42 for_stmt_duplicate = deepcopy(for_stmt)
+
43 proc = deepcopy(process_node)
+
44 setattr(proc, 'force_sensevar', sensvar)
+
45 for_stmt_duplicate.children[3].children = [proc]
+
46 for_list.append(for_stmt_duplicate)
+
47
+
48 genblk.children = [Tree("hgenvardecl", list(external_decl))] + for_list
+
49 tree.children.append(genblk)
+
50
+
+
+
51 def hmodule(self, tree):
+
52 self.current_module = tree.children[0].value
+
53 self.initblock_vardecls = []
+
54 self.sensevar_dict = {}
+
55 self.processes = dict()
+
56
+
57 self.__push_up(tree)
+
58
+
59 self.__create_sensevar_generate_block(tree)
+
60
+
61 self.current_module = None
+
62 self.sensevar_dict = {}
+
63 self.initblock_vardecls = []
+
64 return tree
+
65
+
+
+
66 def hprocess(self, tree):
+
67 # we don't need to push up the process for now.
+
68 self.processes[tree.children[0].value] = tree
+
69 return tree
+
70
+
+
+
71 def hmodinitblock(self, tree):
+
72 self.is_in_initblock = True
+
73 self.__push_up(tree)
+
74 tree.children = list(filter(lambda x: x is not None, tree.children)) # we might remove for loops
+
75 self.is_in_initblock = False
+
76 return tree
+
77
+
+
+
78 def vardecl(self, tree):
+
79 if self.is_in_initblock:
+
80 self.__push_up(tree)
+
81 self.initblock_vardecls.append(tree)
+
82 return tree
+
83 else:
+
84 self.__push_up(tree)
+
85 return tree
+
86
+
+
+
87 def forstmt(self, tree):
+
88 self.is_for_stmt = True
+
89 self.only_sensevar = False
+
90 self.current_for_stmt = tree
+
91
+
92 for_loop_sensevar = self.is_in_initblock and self.is_for_stmt
+
93
+
94 self.__push_up(tree)
+
95 # init, cond, step, body = tree.children
+
96
+
97
+
98 self.is_for_stmt = False
+
99 self.current_for_stmt = None
+
100 if self.only_sensevar:
+
101 self.only_sensevar = False
+
102 return None # In this case we simply remove the for loop statement
+
103 else:
+
104 self.only_sensevar = False
+
105 return tree
+
106
+
107
+
+
+
108 def stmt(self, tree):
+
109 if self.is_for_stmt and self.is_in_initblock:
+
110 is_sensvar = map(lambda x: is_tree_type(x, "hnamedsensvar") , tree.children)
+
111 if all(is_sensvar):
+
112 self.only_sensevar = True
+
113 self.__push_up(tree)
+
114 # In this case, all the sensevar declaration should be moved to the top of the module
+
115 return Tree("hnoop", [])
+
116 elif any(is_sensvar):
+
117 raise ValueError("sensevar declaration should be the only statement in for loop init block, if it contains a sensevar declaration")
+
118 else:
+
119 self.__push_up(tree)
+
120 return tree
+
121 else:
+
122 self.__push_up(tree)
+
123 return tree
+
124
+
+
+
125 def hnamedsensvar(self, tree):
+
126 for_loop_sensevar = self.is_in_initblock and self.is_for_stmt
+
127 assert for_loop_sensevar, "named sensevar is only allowed in for loop init block"
+
128
+
129 process = tree.children[0].value
+
130 sensevar = tree.children[1]
+
131 self.sensevar_dict[sensevar] = (process, self.current_for_stmt)
+
132
+
133 # remove the sensevar declaration from the original for loop
+
134 return None
+
135
+
+
+ + + + +
+ + +
+ + diff --git a/slice__merge_8py.html b/slice__merge_8py.html index 38c74bf5..ac0f0b97 100644 --- a/slice__merge_8py.html +++ b/slice__merge_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/slice_merge.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.slice_merge
namespace  parselib.transforms.slice_merge
 
+
diff --git a/slice__merge_8py_source.html b/slice__merge_8py_source.html index d870a32a..af1e9c74 100644 --- a/slice__merge_8py_source.html +++ b/slice__merge_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/slice_merge.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
slice_merge.py
@@ -208,7 +216,8 @@
+ diff --git a/sort__var__decl_8py.html b/sort__var__decl_8py.html index f598cb15..018b4b13 100644 --- a/sort__var__decl_8py.html +++ b/sort__var__decl_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/sort_var_decl.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.sort_var_decl
namespace  parselib.transforms.sort_var_decl
 
+
diff --git a/sort__var__decl_8py_source.html b/sort__var__decl_8py_source.html index bab56b60..306dcc43 100644 --- a/sort__var__decl_8py_source.html +++ b/sort__var__decl_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/sort_var_decl.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
sort_var_decl.py
@@ -159,7 +167,8 @@
+ diff --git a/structHDLType_1_1usertype__info__t-members.html b/structHDLType_1_1usertype__info__t-members.html index f82e8b04..80d860c6 100644 --- a/structHDLType_1_1usertype__info__t-members.html +++ b/structHDLType_1_1usertype__info__t-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@ - + + + +
HDLType::usertype_info_t Member List
@@ -87,7 +100,8 @@
+ diff --git a/structHDLType_1_1usertype__info__t.html b/structHDLType_1_1usertype__info__t.html index a978d91b..95dd82e6 100644 --- a/structHDLType_1_1usertype__info__t.html +++ b/structHDLType_1_1usertype__info__t.html @@ -3,13 +3,15 @@ - + systemc-clang: HDLType::usertype_info_t Struct Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -134,7 +147,8 @@

+

diff --git a/structhnode_1_1names__t-members.html b/structhnode_1_1names__t-members.html index 8040c37a..609afbe9 100644 --- a/structhnode_1_1names__t-members.html +++ b/structhnode_1_1names__t-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
hnode::names_t Member List
@@ -89,7 +102,8 @@
+ diff --git a/structhnode_1_1names__t.html b/structhnode_1_1names__t.html index f9216adf..e97a7ab4 100644 --- a/structhnode_1_1names__t.html +++ b/structhnode_1_1names__t.html @@ -3,13 +3,15 @@ - + systemc-clang: hnode::names_t Struct Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Attributes | @@ -180,7 +193,8 @@

+

diff --git a/structsc__ast__matchers_1_1ModuleInstanceType-members.html b/structsc__ast__matchers_1_1ModuleInstanceType-members.html index 06da49e1..3b3b6e07 100644 --- a/structsc__ast__matchers_1_1ModuleInstanceType-members.html +++ b/structsc__ast__matchers_1_1ModuleInstanceType-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+ + diff --git a/structsc__ast__matchers_1_1ModuleInstanceType.html b/structsc__ast__matchers_1_1ModuleInstanceType.html index 077f600e..d21378e4 100644 --- a/structsc__ast__matchers_1_1ModuleInstanceType.html +++ b/structsc__ast__matchers_1_1ModuleInstanceType.html @@ -3,13 +3,15 @@ - + systemc-clang: sc_ast_matchers::ModuleInstanceType Struct Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Types | @@ -89,8 +102,8 @@ - - + +

Public Types

typedef std::tuple< std::size_t, std::size_t, std::size_t > ArrayParamType
 
typedef std::tuple< std::size_t, std::size_t, std::size_t > ArrayParamType
 
@@ -109,9 +122,9 @@ - + - + @@ -159,21 +172,21 @@ - +

Public Member Functions

void setArrayType ()
 Array handling.
 
void setArrayParameters (ArrayParamType parm)
void setArrayParameters (ArrayParamType parm)
 
ArrayParamType getArrayParameters ()
ArrayParamType getArrayParameters ()
 
bool isArrayType ()
 
 
std::vector< llvm::APInt > array_sizes_
 
ArrayParamType array_parameters_
ArrayParamType array_parameters_
 

Detailed Description

Definition at line 16 of file ModuleInstanceType.h.

Member Typedef Documentation

- -

◆ ArrayParamType

+ +

◆ ArrayParamType

- +
typedef std::tuple<std::size_t, std::size_t, std::size_t> sc_ast_matchers::ModuleInstanceType::ArrayParamTypestd::tuple<std::size_t, std::size_t, std::size_t> sc_ast_matchers::ModuleInstanceType::ArrayParamType
@@ -195,7 +208,7 @@

sc_ast_matchers::ModuleInstanceType::ModuleInstanceType ( - ) + ) @@ -304,7 +317,7 @@

void sc_ast_matchers::ModuleInstanceType::dump ( - ) + ) @@ -331,7 +344,7 @@

unsigned int sc_ast_matchers::ModuleInstanceType::getArrayDimension ( - ) + ) const @@ -358,9 +371,9 @@

- + - +
ArrayParamType sc_ast_matchers::ModuleInstanceType::getArrayParameters ArrayParamType sc_ast_matchers::ModuleInstanceType::getArrayParameters ())
@@ -387,7 +400,7 @@

std::vector< llvm::APInt > sc_ast_matchers::ModuleInstanceType::getArraySizes ( - ) + ) @@ -414,7 +427,7 @@

clang::Decl * sc_ast_matchers::ModuleInstanceType::getInstanceDecl ( - ) + ) const @@ -441,7 +454,7 @@

std::vector< std::string > sc_ast_matchers::ModuleInstanceType::getInstanceNames ( - ) + ) @@ -468,7 +481,7 @@

clang::Decl * sc_ast_matchers::ModuleInstanceType::getInstanceTypeDecl ( - ) + ) const @@ -495,7 +508,7 @@

clang::ValueDecl * sc_ast_matchers::ModuleInstanceType::getParentDecl ( - ) + ) const @@ -522,7 +535,7 @@

std::string sc_ast_matchers::ModuleInstanceType::getVarName ( - ) + ) const @@ -549,7 +562,7 @@

bool sc_ast_matchers::ModuleInstanceType::isArrayType ( - ) + ) @@ -630,7 +643,7 @@

void sc_ast_matchers::ModuleInstanceType::setArrayParameters ( - ArrayParamType parm) + ArrayParamType parm) @@ -657,7 +670,7 @@

void sc_ast_matchers::ModuleInstanceType::setArrayType ( - ) + ) @@ -682,7 +695,7 @@

- +
ArrayParamType sc_ast_matchers::ModuleInstanceType::array_parameters_ArrayParamType sc_ast_matchers::ModuleInstanceType::array_parameters_

@@ -889,7 +902,8 @@

+

diff --git a/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator-members.html b/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator-members.html index 0470d775..bbb391fa 100644 --- a/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator-members.html +++ b/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::SplitCFGBlock::SuccessorIterator Member List
@@ -96,7 +109,8 @@
+
diff --git a/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html b/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html index 1cbed402..43eb8c1d 100644 --- a/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html +++ b/structsystemc__clang_1_1SplitCFGBlock_1_1SuccessorIterator.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SplitCFGBlock::SuccessorIterator Struct Reference + + @@ -32,23 +34,33 @@
- + + + +
diff --git a/structsystemc__clang_1_1SupplementaryInfo-members.html b/structsystemc__clang_1_1SupplementaryInfo-members.html index b2f24341..d815b14f 100644 --- a/structsystemc__clang_1_1SupplementaryInfo-members.html +++ b/structsystemc__clang_1_1SupplementaryInfo-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_clang::SupplementaryInfo Member List
@@ -95,7 +108,8 @@
+ diff --git a/structsystemc__clang_1_1SupplementaryInfo.html b/structsystemc__clang_1_1SupplementaryInfo.html index 7f8bad4b..bae0b4c9 100644 --- a/structsystemc__clang_1_1SupplementaryInfo.html +++ b/structsystemc__clang_1_1SupplementaryInfo.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_clang::SupplementaryInfo Struct Reference + + @@ -32,23 +34,33 @@ - + + + +
diff --git a/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t-members.html b/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t-members.html index f931f8cc..9bd3093a 100644 --- a/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t-members.html +++ b/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t-members.html @@ -3,13 +3,15 @@ - + systemc-clang: Member List + + @@ -32,23 +34,33 @@
- + + +
+
systemc_hdl::HDLConstructorHcode::for_info_t Member List
@@ -90,7 +103,8 @@
+ diff --git a/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html b/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html index 7386afd3..2b6b29f1 100644 --- a/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html +++ b/structsystemc__hdl_1_1HDLConstructorHcode_1_1for__info__t.html @@ -3,13 +3,15 @@ - + systemc-clang: systemc_hdl::HDLConstructorHcode::for_info_t Struct Reference + + @@ -32,23 +34,33 @@ - + + + +
Public Attributes | @@ -188,7 +201,8 @@

+

diff --git a/structure__collector_8py.html b/structure__collector_8py.html index 1c7a13e5..9a4dbece 100644 --- a/structure__collector_8py.html +++ b/structure__collector_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/structure_collector.py File Reference + + @@ -32,23 +34,33 @@
- + + +
+
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.structure_collector
namespace  parselib.transforms.structure_collector
 
+
diff --git a/structure__collector_8py_source.html b/structure__collector_8py_source.html index 03fd5a28..dea886aa 100644 --- a/structure__collector_8py_source.html +++ b/structure__collector_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/structure_collector.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
structure_collector.py
@@ -104,8 +112,8 @@
17 def __init__(self):
18 super().__init__()
-
19 self.hier = {}
-
20 self.current = self.hier
+
19 self.hier = {}
+
20 self.current = self.hier
21
@@ -114,7 +122,7 @@
24 self.__push_up(tree)
25 mod_name = tree.children[0]
26 mod_type = tree.children[1].children[0].children[0]
-
27 self.current[mod_name] = mod_type
+
27 self.current[mod_name] = mod_type
28 return tree
29
@@ -128,23 +136,23 @@
34 def hmodule(self, tree):
35 d = {}
36 mod_name = tree.children[0]
-
37 orig = self.current
+
37 orig = self.current
38 orig[mod_name] = d
-
39 self.current = d
+
39 self.current = d
40
41 self.__push_up(tree)
42
43 # restore
-
44 self.current = orig
+
44 self.current = orig
45 return tree
- + + -
__push_up(self, current_node)
Definition top_down.py:29
@@ -152,7 +160,8 @@ + diff --git a/systemc-clang_8py.html b/systemc-clang_8py.html index d3ccef11..07b87555 100644 --- a/systemc-clang_8py.html +++ b/systemc-clang_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/systemc-clang.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -102,9 +115,9 @@ - + - +

Namespaces

namespace  systemc-clang
namespace  systemc-clang
 
namespace  systemc
namespace  systemc
 -clang
 
@@ -135,7 +148,8 @@ + diff --git a/systemc-clang_8py_source.html b/systemc-clang_8py_source.html index b8c89619..f2441151 100644 --- a/systemc-clang_8py_source.html +++ b/systemc-clang_8py_source.html @@ -3,13 +3,15 @@ - +systemc-clang: plugins/hdl/systemc-clang.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@
+
systemc-clang.py
@@ -375,7 +383,8 @@
+ diff --git a/tabs.css b/tabs.css index fe4854aa..7fa4268a 100644 --- a/tabs.css +++ b/tabs.css @@ -1 +1 @@ -.sm{position:relative;z-index:9999}.sm,.sm ul,.sm li{display:block;list-style:none;margin:0;padding:0;line-height:normal;direction:ltr;text-align:left;-webkit-tap-highlight-color:rgba(0,0,0,0)}.sm-rtl,.sm-rtl ul,.sm-rtl li{direction:rtl;text-align:right}.sm>li>h1,.sm>li>h2,.sm>li>h3,.sm>li>h4,.sm>li>h5,.sm>li>h6{margin:0;padding:0}.sm ul{display:none}.sm li,.sm a{position:relative}.sm a{display:block}.sm a.disabled{cursor:not-allowed}.sm:after{content:"\00a0";display:block;height:0;font:0/0 serif;clear:both;visibility:hidden;overflow:hidden}.sm,.sm *,.sm *:before,.sm *:after{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box}.main-menu-btn{position:relative;display:inline-block;width:36px;height:36px;text-indent:36px;margin-left:8px;white-space:nowrap;overflow:hidden;cursor:pointer;-webkit-tap-highlight-color:rgba(0,0,0,0)}.main-menu-btn-icon,.main-menu-btn-icon:before,.main-menu-btn-icon:after{position:absolute;top:50%;left:2px;height:2px;width:24px;background:var(--nav-menu-button-color);-webkit-transition:all .25s;transition:all .25s}.main-menu-btn-icon:before{content:'';top:-7px;left:0}.main-menu-btn-icon:after{content:'';top:7px;left:0}#main-menu-state:checked ~ .main-menu-btn .main-menu-btn-icon{height:0}#main-menu-state:checked ~ .main-menu-btn .main-menu-btn-icon:before{top:0;-webkit-transform:rotate(-45deg);transform:rotate(-45deg)}#main-menu-state:checked ~ .main-menu-btn .main-menu-btn-icon:after{top:0;-webkit-transform:rotate(45deg);transform:rotate(45deg)}#main-menu-state{position:absolute;width:1px;height:1px;margin:-1px;border:0;padding:0;overflow:hidden;clip:rect(1px,1px,1px,1px)}#main-menu-state:not(:checked) ~ #main-menu{display:none}#main-menu-state:checked ~ #main-menu{display:block}@media(min-width:768px){.main-menu-btn{position:absolute;top:-99999px}#main-menu-state:not(:checked) ~ #main-menu{display:block}}.sm-dox{background-image:var(--nav-gradient-image)}.sm-dox a,.sm-dox a:focus,.sm-dox a:hover,.sm-dox a:active{padding:0 12px;padding-right:43px;font-family:var(--font-family-nav);font-size:13px;font-weight:bold;line-height:36px;text-decoration:none;text-shadow:var(--nav-text-normal-shadow);color:var(--nav-text-normal-color);outline:0}.sm-dox a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:var(--nav-text-hover-shadow)}.sm-dox a.current{color:#d23600}.sm-dox a.disabled{color:#bbb}.sm-dox a span.sub-arrow{position:absolute;top:50%;margin-top:-14px;left:auto;right:3px;width:28px;height:28px;overflow:hidden;font:bold 12px/28px monospace !important;text-align:center;text-shadow:none;background:var(--nav-menu-toggle-color);-moz-border-radius:5px;-webkit-border-radius:5px;border-radius:5px}.sm-dox a span.sub-arrow:before{display:block;content:'+'}.sm-dox a.highlighted span.sub-arrow:before{display:block;content:'-'}.sm-dox>li:first-child>a,.sm-dox>li:first-child>:not(ul) a{-moz-border-radius:5px 5px 0 0;-webkit-border-radius:5px;border-radius:5px 5px 0 0}.sm-dox>li:last-child>a,.sm-dox>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul{-moz-border-radius:0 0 5px 5px;-webkit-border-radius:0;border-radius:0 0 5px 5px}.sm-dox>li:last-child>a.highlighted,.sm-dox>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a.highlighted{-moz-border-radius:0;-webkit-border-radius:0;border-radius:0}.sm-dox ul{background:var(--nav-menu-background-color)}.sm-dox ul a,.sm-dox ul a:focus,.sm-dox ul a:hover,.sm-dox ul a:active{font-size:12px;border-left:8px solid transparent;line-height:36px;text-shadow:none;background-color:var(--nav-menu-background-color);background-image:none}.sm-dox ul a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:0 1px 1px black}.sm-dox ul ul a,.sm-dox ul ul a:hover,.sm-dox ul ul a:focus,.sm-dox ul ul a:active{border-left:16px solid transparent}.sm-dox ul ul ul a,.sm-dox ul ul ul a:hover,.sm-dox ul ul ul a:focus,.sm-dox ul ul ul a:active{border-left:24px solid transparent}.sm-dox ul ul ul ul a,.sm-dox ul ul ul ul a:hover,.sm-dox ul ul ul ul a:focus,.sm-dox ul ul ul ul a:active{border-left:32px solid transparent}.sm-dox ul ul ul ul ul a,.sm-dox ul ul ul ul ul a:hover,.sm-dox ul ul ul ul ul a:focus,.sm-dox ul ul ul ul ul a:active{border-left:40px solid transparent}@media(min-width:768px){.sm-dox ul{position:absolute;width:12em}.sm-dox li{float:left}.sm-dox.sm-rtl li{float:right}.sm-dox ul li,.sm-dox.sm-rtl ul li,.sm-dox.sm-vertical li{float:none}.sm-dox a{white-space:nowrap}.sm-dox ul a,.sm-dox.sm-vertical a{white-space:normal}.sm-dox .sm-nowrap>li>a,.sm-dox .sm-nowrap>li>:not(ul) a{white-space:nowrap}.sm-dox{padding:0 10px;background-image:var(--nav-gradient-image);line-height:36px}.sm-dox a span.sub-arrow{top:50%;margin-top:-2px;right:12px;width:0;height:0;border-width:4px;border-style:solid dashed dashed dashed;border-color:var(--nav-text-normal-color) transparent transparent transparent;background:transparent;-moz-border-radius:0;-webkit-border-radius:0;border-radius:0}.sm-dox a,.sm-dox a:focus,.sm-dox a:active,.sm-dox a:hover,.sm-dox a.highlighted{padding:0 12px;background-image:var(--nav-separator-image);background-repeat:no-repeat;background-position:right;-moz-border-radius:0 !important;-webkit-border-radius:0;border-radius:0 !important}.sm-dox a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:var(--nav-text-hover-shadow)}.sm-dox a:hover span.sub-arrow{border-color:var(--nav-text-hover-color) transparent transparent transparent}.sm-dox a.has-submenu{padding-right:24px}.sm-dox li{border-top:0}.sm-dox>li>ul:before,.sm-dox>li>ul:after{content:'';position:absolute;top:-18px;left:30px;width:0;height:0;overflow:hidden;border-width:9px;border-style:dashed dashed solid dashed;border-color:transparent transparent #bbb transparent}.sm-dox>li>ul:after{top:-16px;left:31px;border-width:8px;border-color:transparent transparent var(--nav-menu-background-color) transparent}.sm-dox ul{border:1px solid #bbb;padding:5px 0;background:var(--nav-menu-background-color);-moz-border-radius:5px !important;-webkit-border-radius:5px;border-radius:5px !important;-moz-box-shadow:0 5px 9px rgba(0,0,0,0.2);-webkit-box-shadow:0 5px 9px rgba(0,0,0,0.2);box-shadow:0 5px 9px rgba(0,0,0,0.2)}.sm-dox ul a span.sub-arrow{right:8px;top:50%;margin-top:-5px;border-width:5px;border-color:transparent transparent transparent var(--nav-menu-foreground-color);border-style:dashed dashed dashed solid}.sm-dox ul a,.sm-dox ul a:hover,.sm-dox ul a:focus,.sm-dox ul a:active,.sm-dox ul a.highlighted{color:var(--nav-menu-foreground-color);background-image:none;border:0 !important}.sm-dox ul a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:var(--nav-text-hover-shadow)}.sm-dox ul a:hover span.sub-arrow{border-color:transparent transparent transparent var(--nav-text-hover-color)}.sm-dox span.scroll-up,.sm-dox span.scroll-down{position:absolute;display:none;visibility:hidden;overflow:hidden;background:var(--nav-menu-background-color);height:36px}.sm-dox span.scroll-up:hover,.sm-dox span.scroll-down:hover{background:#eee}.sm-dox span.scroll-up:hover span.scroll-up-arrow,.sm-dox span.scroll-up:hover span.scroll-down-arrow{border-color:transparent transparent #d23600 transparent}.sm-dox span.scroll-down:hover span.scroll-down-arrow{border-color:#d23600 transparent transparent transparent}.sm-dox span.scroll-up-arrow,.sm-dox span.scroll-down-arrow{position:absolute;top:0;left:50%;margin-left:-6px;width:0;height:0;overflow:hidden;border-width:6px;border-style:dashed dashed solid dashed;border-color:transparent transparent var(--nav-menu-foreground-color) transparent}.sm-dox span.scroll-down-arrow{top:8px;border-style:solid dashed dashed dashed;border-color:var(--nav-menu-foreground-color) transparent transparent transparent}.sm-dox.sm-rtl a.has-submenu{padding-right:12px;padding-left:24px}.sm-dox.sm-rtl a span.sub-arrow{right:auto;left:12px}.sm-dox.sm-rtl.sm-vertical a.has-submenu{padding:10px 20px}.sm-dox.sm-rtl.sm-vertical a span.sub-arrow{right:auto;left:8px;border-style:dashed solid dashed dashed;border-color:transparent #555 transparent transparent}.sm-dox.sm-rtl>li>ul:before{left:auto;right:30px}.sm-dox.sm-rtl>li>ul:after{left:auto;right:31px}.sm-dox.sm-rtl ul a.has-submenu{padding:10px 20px !important}.sm-dox.sm-rtl ul a span.sub-arrow{right:auto;left:8px;border-style:dashed solid dashed dashed;border-color:transparent #555 transparent transparent}.sm-dox.sm-vertical{padding:10px 0;-moz-border-radius:5px;-webkit-border-radius:5px;border-radius:5px}.sm-dox.sm-vertical a{padding:10px 20px}.sm-dox.sm-vertical a:hover,.sm-dox.sm-vertical a:focus,.sm-dox.sm-vertical a:active,.sm-dox.sm-vertical a.highlighted{background:#fff}.sm-dox.sm-vertical a.disabled{background-image:var(--nav-gradient-image)}.sm-dox.sm-vertical a span.sub-arrow{right:8px;top:50%;margin-top:-5px;border-width:5px;border-style:dashed dashed dashed solid;border-color:transparent transparent transparent #555}.sm-dox.sm-vertical>li>ul:before,.sm-dox.sm-vertical>li>ul:after{display:none}.sm-dox.sm-vertical ul a{padding:10px 20px}.sm-dox.sm-vertical ul a:hover,.sm-dox.sm-vertical ul a:focus,.sm-dox.sm-vertical ul a:active,.sm-dox.sm-vertical ul a.highlighted{background:#eee}.sm-dox.sm-vertical ul a.disabled{background:var(--nav-menu-background-color)}} \ No newline at end of file +.sm{position:relative;z-index:9999}.sm,.sm ul,.sm li{display:block;list-style:none;margin:0;padding:0;line-height:normal;direction:ltr;text-align:left;-webkit-tap-highlight-color:rgba(0,0,0,0)}.sm-rtl,.sm-rtl ul,.sm-rtl li{direction:rtl;text-align:right}.sm>li>h1,.sm>li>h2,.sm>li>h3,.sm>li>h4,.sm>li>h5,.sm>li>h6{margin:0;padding:0}.sm ul{display:none}.sm li,.sm a{position:relative}.sm a{display:block}.sm a.disabled{cursor:not-allowed}.sm:after{content:"\00a0";display:block;height:0;font:0/0 serif;clear:both;visibility:hidden;overflow:hidden}.sm,.sm *,.sm *:before,.sm *:after{-moz-box-sizing:border-box;-webkit-box-sizing:border-box;box-sizing:border-box}.main-menu-btn{position:relative;display:inline-block;width:36px;height:36px;text-indent:36px;margin-left:8px;white-space:nowrap;overflow:hidden;cursor:pointer;-webkit-tap-highlight-color:rgba(0,0,0,0)}.main-menu-btn-icon,.main-menu-btn-icon:before,.main-menu-btn-icon:after{position:absolute;top:50%;left:2px;height:2px;width:24px;background:var(--nav-menu-button-color);-webkit-transition:all .25s;transition:all .25s}.main-menu-btn-icon:before{content:'';top:-7px;left:0}.main-menu-btn-icon:after{content:'';top:7px;left:0}#main-menu-state:checked ~ .main-menu-btn .main-menu-btn-icon{height:0}#main-menu-state:checked ~ .main-menu-btn .main-menu-btn-icon:before{top:0;-webkit-transform:rotate(-45deg);transform:rotate(-45deg)}#main-menu-state:checked ~ .main-menu-btn .main-menu-btn-icon:after{top:0;-webkit-transform:rotate(45deg);transform:rotate(45deg)}#main-menu-state{position:absolute;width:1px;height:1px;margin:-1px;border:0;padding:0;overflow:hidden;clip:rect(1px,1px,1px,1px)}#main-menu-state:not(:checked) ~ #main-menu{display:none}#main-menu-state:checked ~ #main-menu{display:block}@media(min-width:768px){.main-menu-btn{position:absolute;top:-99999px}#main-menu-state:not(:checked) ~ #main-menu{display:block}}.sm-dox{background-image:var(--nav-gradient-image)}.sm-dox a,.sm-dox a:focus,.sm-dox a:hover,.sm-dox a:active{padding:0 12px;padding-right:43px;font-family:var(--font-family-nav);font-size:13px;font-weight:bold;line-height:36px;text-decoration:none;text-shadow:var(--nav-text-normal-shadow);color:var(--nav-text-normal-color);outline:0}.sm-dox a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:var(--nav-text-hover-shadow)}.sm-dox a.current{color:#d23600}.sm-dox a.disabled{color:#bbb}.sm-dox a span.sub-arrow{position:absolute;top:50%;margin-top:-14px;left:auto;right:3px;width:28px;height:28px;overflow:hidden;font:bold 12px/28px monospace !important;text-align:center;text-shadow:none;background:var(--nav-menu-toggle-color);-moz-border-radius:5px;-webkit-border-radius:5px;border-radius:5px}.sm-dox a span.sub-arrow:before{display:block;content:'+'}.sm-dox a.highlighted span.sub-arrow:before{display:block;content:'-'}.sm-dox>li:first-child>a,.sm-dox>li:first-child>:not(ul) a{-moz-border-radius:5px 5px 0 0;-webkit-border-radius:5px;border-radius:5px 5px 0 0}.sm-dox>li:last-child>a,.sm-dox>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul{-moz-border-radius:0 0 5px 5px;-webkit-border-radius:0;border-radius:0 0 5px 5px}.sm-dox>li:last-child>a.highlighted,.sm-dox>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>a.highlighted,.sm-dox>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>ul>li:last-child>*:not(ul) a.highlighted{-moz-border-radius:0;-webkit-border-radius:0;border-radius:0}.sm-dox ul{background:var(--nav-menu-background-color)}.sm-dox ul a,.sm-dox ul a:focus,.sm-dox ul a:hover,.sm-dox ul a:active{font-size:12px;border-left:8px solid transparent;line-height:36px;text-shadow:none;background-color:var(--nav-menu-background-color);background-image:none}.sm-dox ul a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:0 1px 1px black}.sm-dox ul ul a,.sm-dox ul ul a:hover,.sm-dox ul ul a:focus,.sm-dox ul ul a:active{border-left:16px solid transparent}.sm-dox ul ul ul a,.sm-dox ul ul ul a:hover,.sm-dox ul ul ul a:focus,.sm-dox ul ul ul a:active{border-left:24px solid transparent}.sm-dox ul ul ul ul a,.sm-dox ul ul ul ul a:hover,.sm-dox ul ul ul ul a:focus,.sm-dox ul ul ul ul a:active{border-left:32px solid transparent}.sm-dox ul ul ul ul ul a,.sm-dox ul ul ul ul ul a:hover,.sm-dox ul ul ul ul ul a:focus,.sm-dox ul ul ul ul ul a:active{border-left:40px solid transparent}@media(min-width:768px){.sm-dox ul{position:absolute;width:12em}.sm-dox li{float:left}.sm-dox.sm-rtl li{float:right}.sm-dox ul li,.sm-dox.sm-rtl ul li,.sm-dox.sm-vertical li{float:none}.sm-dox a{white-space:nowrap}.sm-dox ul a,.sm-dox.sm-vertical a{white-space:normal}.sm-dox .sm-nowrap>li>a,.sm-dox .sm-nowrap>li>:not(ul) a{white-space:nowrap}.sm-dox{padding:0 10px;background-image:var(--nav-gradient-image);line-height:36px}.sm-dox a span.sub-arrow{top:50%;margin-top:-2px;right:12px;width:0;height:0;border-width:4px;border-style:solid dashed dashed dashed;border-color:var(--nav-text-normal-color) transparent transparent transparent;background:transparent;-moz-border-radius:0;-webkit-border-radius:0;border-radius:0}.sm-dox a,.sm-dox a:focus,.sm-dox a:active,.sm-dox a:hover,.sm-dox a.highlighted{padding:0 12px;background-image:var(--nav-separator-image);background-repeat:no-repeat;background-position:right;-moz-border-radius:0 !important;-webkit-border-radius:0;border-radius:0 !important}.sm-dox a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:var(--nav-text-hover-shadow)}.sm-dox a:hover span.sub-arrow{border-color:var(--nav-text-hover-color) transparent transparent transparent}.sm-dox a.has-submenu{padding-right:24px}.sm-dox li{border-top:0}.sm-dox>li>ul:before,.sm-dox>li>ul:after{content:'';position:absolute;top:-18px;left:30px;width:0;height:0;overflow:hidden;border-width:9px;border-style:dashed dashed solid dashed;border-color:transparent transparent #bbb transparent}.sm-dox>li>ul:after{top:-16px;left:31px;border-width:8px;border-color:transparent transparent var(--nav-menu-background-color) transparent}.sm-dox ul{border:1px solid #bbb;padding:5px 0;background:var(--nav-menu-background-color);-moz-border-radius:5px !important;-webkit-border-radius:5px;border-radius:5px !important;-moz-box-shadow:0 5px 9px rgba(0,0,0,0.2);-webkit-box-shadow:0 5px 9px rgba(0,0,0,0.2);box-shadow:0 5px 9px rgba(0,0,0,0.2)}.sm-dox ul a span.sub-arrow{right:8px;top:50%;margin-top:-5px;border-width:5px;border-color:transparent transparent transparent var(--nav-menu-foreground-color);border-style:dashed dashed dashed solid}.sm-dox ul a,.sm-dox ul a:hover,.sm-dox ul a:focus,.sm-dox ul a:active,.sm-dox ul a.highlighted{color:var(--nav-menu-foreground-color);background-image:none;border:0 !important}.sm-dox ul a:hover{background-image:var(--nav-gradient-active-image);background-repeat:repeat-x;color:var(--nav-text-hover-color);text-shadow:var(--nav-text-hover-shadow)}.sm-dox ul a:hover span.sub-arrow{border-color:transparent transparent transparent var(--nav-text-hover-color)}.sm-dox span.scroll-up,.sm-dox span.scroll-down{position:absolute;display:none;visibility:hidden;overflow:hidden;background:var(--nav-menu-background-color);height:36px}.sm-dox span.scroll-up:hover,.sm-dox span.scroll-down:hover{background:#eee}.sm-dox span.scroll-up:hover span.scroll-up-arrow,.sm-dox span.scroll-up:hover span.scroll-down-arrow{border-color:transparent transparent #d23600 transparent}.sm-dox span.scroll-down:hover span.scroll-down-arrow{border-color:#d23600 transparent transparent transparent}.sm-dox span.scroll-up-arrow,.sm-dox span.scroll-down-arrow{position:absolute;top:0;left:50%;margin-left:-6px;width:0;height:0;overflow:hidden;border-width:6px;border-style:dashed dashed solid dashed;border-color:transparent transparent var(--nav-menu-foreground-color) transparent}.sm-dox span.scroll-down-arrow{top:8px;border-style:solid dashed dashed dashed;border-color:var(--nav-menu-foreground-color) transparent transparent transparent}.sm-dox.sm-rtl a.has-submenu{padding-right:12px;padding-left:24px}.sm-dox.sm-rtl a span.sub-arrow{right:auto;left:12px}.sm-dox.sm-rtl.sm-vertical a.has-submenu{padding:10px 20px}.sm-dox.sm-rtl.sm-vertical a span.sub-arrow{right:auto;left:8px;border-style:dashed solid dashed dashed;border-color:transparent #555 transparent transparent}.sm-dox.sm-rtl>li>ul:before{left:auto;right:30px}.sm-dox.sm-rtl>li>ul:after{left:auto;right:31px}.sm-dox.sm-rtl ul a.has-submenu{padding:10px 20px !important}.sm-dox.sm-rtl ul a span.sub-arrow{right:auto;left:8px;border-style:dashed solid dashed dashed;border-color:transparent #555 transparent transparent}.sm-dox.sm-vertical{padding:10px 0;-moz-border-radius:5px;-webkit-border-radius:5px;border-radius:5px}.sm-dox.sm-vertical a{padding:10px 20px}.sm-dox.sm-vertical a:hover,.sm-dox.sm-vertical a:focus,.sm-dox.sm-vertical a:active,.sm-dox.sm-vertical a.highlighted{background:#fff}.sm-dox.sm-vertical a.disabled{background-image:var(--nav-gradient-image)}.sm-dox.sm-vertical a span.sub-arrow{right:8px;top:50%;margin-top:-5px;border-width:5px;border-style:dashed dashed dashed solid;border-color:transparent transparent transparent #555}.sm-dox.sm-vertical>li>ul:before,.sm-dox.sm-vertical>li>ul:after{display:none}.sm-dox.sm-vertical ul a{padding:10px 20px}.sm-dox.sm-vertical ul a:hover,.sm-dox.sm-vertical ul a:focus,.sm-dox.sm-vertical ul a:active,.sm-dox.sm-vertical ul a.highlighted{background:#eee}.sm-dox.sm-vertical ul a.disabled{background:var(--nav-menu-background-color)}} diff --git a/top__down_8py.html b/top__down_8py.html index 3b3bff34..04515651 100644 --- a/top__down_8py.html +++ b/top__down_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/top_down.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -95,11 +108,11 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.top_down
namespace  parselib.transforms.top_down
 

@@ -110,7 +123,8 @@ + diff --git a/top__down_8py_source.html b/top__down_8py_source.html index 55309c51..0e595ffa 100644 --- a/top__down_8py_source.html +++ b/top__down_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/top_down.py Source File + + @@ -32,18 +34,23 @@

- + + @@ -80,6 +87,7 @@
+
top_down.py
@@ -142,7 +150,8 @@
+ diff --git a/transforms_2____init_____8py.html b/transforms_2____init_____8py.html index 93d4befc..49ffe278 100644 --- a/transforms_2____init_____8py.html +++ b/transforms_2____init_____8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/__init__.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -86,15 +99,16 @@ - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
+
diff --git a/transforms_2____init_____8py_source.html b/transforms_2____init_____8py_source.html index 629e5b3b..a69a40bf 100644 --- a/transforms_2____init_____8py_source.html +++ b/transforms_2____init_____8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/__init__.py Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
__init__.py
@@ -90,7 +98,8 @@
+ diff --git a/type__collector_8py.html b/type__collector_8py.html index 8c4190eb..86fef9c6 100644 --- a/type__collector_8py.html +++ b/type__collector_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/type_collector.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.type_collector
namespace  parselib.transforms.type_collector
 
+
diff --git a/type__collector_8py_source.html b/type__collector_8py_source.html index 05a3c111..b796cfe4 100644 --- a/type__collector_8py_source.html +++ b/type__collector_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/type_collector.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
type_collector.py
@@ -97,14 +105,14 @@
10 def __init__(self, skip=None, *args, **kwargs):
11 self.custom_types = dict()
-
12 self.module_types = dict()
- + +
14 pass
15
16 def is_module_type(self, name):
-
17 for key, _ in self.module_types.items():
+
17 for key, _ in self.module_typesmodule_types.items():
18 if key.startswith(str(name)):
19 return key
20 return None
@@ -114,7 +122,7 @@
22 def get_port_bindings(self, name, parent=None):
23 # TODO: fix the portbinding hack
24 # list of port bindings
-
25 return self.module_types[name]
+
25 return self.module_typesmodule_types[name]
26
@@ -153,11 +161,11 @@
51 # TODO: fix this hack
52 mod_name = str(args[0])
53 self.current_mod = mod_name
-
54 if mod_name in self.module_types:
+
54 if mod_name in self.module_typesmodule_types:
55 raise Exception('repeated module definition')
-
56 self.module_types[mod_name] = []
-
57 self.module_types[mod_name].extend(self.current_bindings)
-
58 self.current_bindings = []
+
56 self.module_typesmodule_types[mod_name] = []
+
57 self.module_typesmodule_types[mod_name].extend(self.current_bindings)
+
58 self.current_bindings = []
59
60
@@ -202,6 +210,8 @@ + +
__init__(self, skip=None, *args, **kwargs)
@@ -209,7 +219,6 @@ - @@ -219,7 +228,8 @@
+ diff --git a/type__node_8py.html b/type__node_8py.html index 8cd5d2eb..494b4249 100644 --- a/type__node_8py.html +++ b/type__node_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/type_node.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.type_node
namespace  parselib.transforms.type_node
 
+
diff --git a/type__node_8py_source.html b/type__node_8py_source.html index 9cd90edc..1161d0f2 100644 --- a/type__node_8py_source.html +++ b/type__node_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/type_node.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
type_node.py
@@ -105,7 +113,7 @@
17 self.name = name
18 self.params = params
19 self.aliases = aliases
-
20 self.fields = fields
+
20 self.fieldsfields = fields
21
22
@@ -147,7 +155,7 @@
55 """
56 param_dict = param_dict or self.build_param_dict(param_list)
57 fields = []
-
58 for field_name, field_type in self.fields:
+
58 for field_name, field_type in self.fieldsfields:
59 if len(field_type.params) > 0:
60 instantiate_list = [ param_dict[p.name] if p.name in param_dict else p.instantiate() for p in field_type.params ]
61 res = field_type.instantiate(params=instantiate_list)
@@ -175,7 +183,7 @@
81 return int(self.name)
82 else:
83 # Non-primitive type, like fp_t
-
84 res = aggregate(params, self.fields)
+
84 res = aggregate(params, self.fieldsfields)
85 assert CType.types.is_custom_type(self.name), f'{self.name} is not a custom type'
86 t = CType.types.get_custom_type(self.name)
87 return t.bind(param_list=self.params)
@@ -191,13 +199,15 @@
__init__(self, name, params, aliases, fields)
Definition type_node.py:9
+ + diff --git a/typedef__expansion_8py.html b/typedef__expansion_8py.html index 6afa00a4..a2bad6ea 100644 --- a/typedef__expansion_8py.html +++ b/typedef__expansion_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/typedef_expansion.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -92,17 +105,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.typedef_expansion
namespace  parselib.transforms.typedef_expansion
 
+
diff --git a/typedef__expansion_8py_source.html b/typedef__expansion_8py_source.html index 6520c5cb..a61468e9 100644 --- a/typedef__expansion_8py_source.html +++ b/typedef__expansion_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/typedef_expansion.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
typedef_expansion.py
@@ -92,7 +100,7 @@
6from lark import Tree, Token
7import copy
8import warnings
-
9from ..utils import dprint, is_tree_type, get_ids_in_tree, alternate_ids, set_ids_in_tree_dfs
+
9from ..utils import dprint, is_tree_type, get_ids_in_tree, alternate_ids, get_tree_types, set_ids_in_tree_dfs, map_hvarref_ids, ContextManager
10
11
@@ -101,10 +109,10 @@
14 def __init__(self, types):
15 super().__init__()
-
16 self.types = types
+
17 # expanded is the variable stack
18 self.expanded = [dict()]
- +
20
@@ -121,9 +129,9 @@
31 stack_top = stack.pop()
32 assert stack_top.data == 'htype'
33 type_name, *type_params = stack_top.children
-
34 if type_name in self.types:
+
34 if type_name in self.typestypestypestypes:
35 expandable += 1
-
36 fields = self.types[type_name].get_fields_with_instantiation(type_params, self.types)
+
36 fields = self.typestypestypestypes[type_name].get_fields_with_instantiation(type_params, self.typestypestypestypes)
37 else:
38 # expand other htype fields
39 for child in type_params:
@@ -140,7 +148,7 @@
50 while stack:
51 stack_top = stack.pop()
52 type_name, *type_params = stack_top.children
-
53 if type_name in self.types: # this is the only type that gets expanded
+
53 if type_name in self.typestypestypestypes: # this is the only type that gets expanded
54 stack_top.children = v.children
55 else:
56 for child in type_params:
@@ -251,8 +259,8 @@
149 var_type = self.__get_expandable_type_from_htype(var_type)
150 type_name = var_type.children[0]
151 type_params = var_type.children[1:]
-
152 tpe = self.types[type_name]
-
153 fields = tpe.get_fields_with_instantiation(type_params, self.types)
+
152 tpe = self.typestypestypestypes[type_name]
+
153 fields = tpe.get_fields_with_instantiation(type_params, self.typestypestypestypes)
154 for field_name, _ in fields:
155 new_children.append(sense_var + '_' + field_name)
156 else:
@@ -262,495 +270,697 @@
160
-
161 def modportsiglist(self, tree):
-
162 self.__push_up(tree)
-
163 new_children = []
-
164 # print('Mod Port Sig List')
-
165 for node in tree.children:
-
166 if node.data == 'portdecltype':
-
167 var_name = node.children[0].children[0]
-
168 var_type = node.children[1].children[0]
-
169 var_type_name = var_type.children[1].children[0]
-
170 if var_type_name in self.types:
-
171 self.__set_expanded(var_name, var_type)
-
172 new_children.extend(self.__expand_portdecltype(node))
-
173 elif node.data == 'sigdecltype':
-
174 var_name = node.children[0].children[0]
-
175 var_type = node.children[1].children[0]
-
176 # dprint(var_name)
-
177 # dprint(var_type)
-
178 var_tokens = map(lambda x:
-
179 filter(lambda y: isinstance(y, str), x.children),
-
180 var_type.iter_subtrees_topdown())
-
181 for var_type_name in itertools.chain.from_iterable(var_tokens):
-
182 if var_type_name in self.types: # detect the first type that is in the typedef list
-
183 self.__set_expanded(var_name, var_type)
-
184 break
-
185 new_children.extend(self.__expand_sigdecltype(node))
-
186 else:
-
187 # for vardeclinit, the structure is slightly different
-
188 # no expansion for now
-
189 var_name = node.children[0]
-
190 var_type = node.children[1].children[0]
-
191 var_tokens = map(lambda x:
-
192 filter(lambda y: isinstance(y, str), x.children),
-
193 var_type.iter_subtrees_topdown())
-
194 type_name = var_type.children[0]
-
195 # dprint(node)
-
196 if not Primitive.get_primitive(type_name) and not type_name in self.types:
-
197 # dprint(tree)
-
198 # module instantiate
-
199 new_children.append(Tree('moduleinst', node.children, node.meta))
-
200 # dprint(new_children[-1])
-
201 # assert False
-
202 continue
-
203 if type_name == 'array':
-
204 # array of module instantiations
-
205 sub_type_name = var_type.children[1].children[0]
-
206 if not Primitive.get_primitive(sub_type_name) and not sub_type_name in self.types:
-
207 # inst_name, module_name, array_size
-
208 inst_arr_name = node.children[0]
-
209 n_inst = var_type.children[2][0]
-
210 inst_type = Tree('htypeinfo', children=[var_type.children[1]])
-
211 for i in range(n_inst):
-
212 inst_name = inst_arr_name + '#' + str(i)
-
213 new_children.append(Tree('moduleinst', [inst_name, inst_type], node.meta))
-
214 continue
-
215 array_of_typedef = False
-
216 for var_type_name in itertools.chain.from_iterable(var_tokens):
-
217 if var_type_name in self.types: # detect the first type that is in the typedef list
-
218 self.__set_expanded(var_name, var_type)
-
219 break
-
220 elif var_type_name == "array":
-
221 continue
-
222 res = self.__expand_vardecltype(node)
-
223 new_children.extend(res)
-
224 # original type
-
225 # print('Var Name: ', var_name)
-
226 # print('Var Type: ', var_type)
-
227 # print()
-
228 tree.children = new_children
-
229 # print('---')
-
230 # for node in tree.children:
-
231 # print(node)
-
232 return tree
-
233
-
-
- -
235 # returns the first expandable sub-tree
-
236 for subtree in htype.iter_subtrees_topdown():
-
237 if isinstance(subtree, Tree) and subtree.data == 'htype' and subtree.children[0] in self.types:
-
238 return subtree
-
239 return None
-
240
-
-
- -
242 assert isinstance(tree, Tree)
-
243 if tree.data in ['hliteral', 'hvarref']:
-
244 var_name = tree.children[0]
-
245 if self.__expanded_type(var_name):
-
246 return var_name
-
247 elif tree.data == 'harrayref':
-
248 # TODO: support for multi-dimension array
-
249 # Special cases for handling hSigAssignR as array reference
-
250 if tree.children[0].data == 'hsigassignr':
-
251 var_name = tree.children[1].children[0]
-
252 else:
-
253 var_name = tree.children[0].children[0]
-
254 if self.__expanded_type(var_name):
-
255 return var_name
-
256 elif tree.data == 'hbinop' and tree.children[0] == 'ARRAYSUBSCRIPT': # simmilar to array ref
-
257 var_name = tree.children[1].children[0]
-
258 if self.__expanded_type(var_name):
-
259 return var_name
-
260 elif tree.data == 'hvarref':
-
261 var_name = tree.children[0]
-
262 if self.__expanded_type(var_name):
-
263 return var_name
-
264 elif tree.data == 'syscread':
-
265 # this is only used in statement vardeclinit
-
266 # syscread can also be performed on hararyref
-
267 assert tree.children[1].data in ['hliteral', 'hvarref', 'harrayref'], f'Actual: {tree.children[1].data} ({tree})'
-
268 if tree.children[1].data in ['harrayref']:
-
269 var_name = self.__get_expandable_var_from_tree(tree.children[1])
-
270 else:
-
271 var_name = tree.children[1].children[0]
-
272 if self.__expanded_type(var_name):
-
273 return var_name
-
274 elif tree.data == 'hvarinitlist':
-
275 # RHS is a list of variable
-
276 new_children = []
-
277 for element in tree.children:
-
278 new_children.append(self.__get_expandable_var_from_tree(element))
-
279 return new_children
-
280 return None
-
281
-
-
-
282 def __append_to_expandable_var_to_tree(self, tree, field_name):
-
283 """append the field_name to the expandable variable in tree"""
-
284 # TODO: the self.__expanded_type is excessive
-
285 assert isinstance(tree, Tree)
-
286 if tree.data in ['hliteral', 'hvarref']:
-
287 var_name = tree.children[0]
+
161 def hnamedsensvar(self, tree):
+
162 """expand identifiers in sensitivity list with fields"""
+
163 self.__push_up(tree)
+
164 res = []
+
165 # there will only be one sense var for thjis node
+
166 sense_var = tree.children[1]
+
167 sense_var = get_ids_in_tree(sense_var)[0]
+
168 var_type = self.__expanded_type(sense_var)
+
169
+
170 # we will have to duplicate this node multiple times
+
171 if var_type:
+
172 var_type = self.__get_expandable_type_from_htype(var_type)
+
173 type_name = var_type.children[0]
+
174 type_params = var_type.children[1:]
+
175 tpe = self.typestypestypestypes[type_name]
+
176 fields = tpe.get_fields_with_instantiation(type_params, self.typestypestypestypes)
+
177
+
178
+
179 # we need to modify the id so that, so that the array reference is
+
180 # expanded correctly
+
181 for field_name, _ in fields:
+
182 new_child = copy.deepcopy(tree)
+
183 varrefs = get_tree_types(new_child, ['hvarref'])
+
184 for vars in varrefs:
+
185 if vars.children[0].value == sense_var.value:
+
186 vars.children[0] = Token('ID', sense_var.value + '_' + field_name)
+
187 res.append(new_child)
+
188 return res
+
189 return tree
+
190
+
+
+
191 def modportsiglist(self, tree):
+
192 self.__push_up(tree)
+
193 new_children = []
+
194 # print('Mod Port Sig List')
+
195 for node in tree.children:
+
196 if node.data == 'portdecltype':
+
197 var_name = node.children[0].children[0]
+
198 var_type = node.children[1].children[0]
+
199 var_type_name = var_type.children[1].children[0]
+
200 if var_type_name in self.typestypestypestypes:
+
201 self.__set_expanded(var_name, var_type)
+
202 new_children.extend(self.__expand_portdecltype(node))
+
203 elif node.data == 'sigdecltype':
+
204 var_name = node.children[0].children[0]
+
205 var_type = node.children[1].children[0]
+
206 # dprint(var_name)
+
207 # dprint(var_type)
+
208 var_tokens = map(lambda x:
+
209 filter(lambda y: isinstance(y, str), x.children),
+
210 var_type.iter_subtrees_topdown())
+
211 for var_type_name in itertools.chain.from_iterable(var_tokens):
+
212 if var_type_name in self.typestypestypestypes: # detect the first type that is in the typedef list
+
213 self.__set_expanded(var_name, var_type)
+
214 break
+
215 new_children.extend(self.__expand_sigdecltype(node))
+
216 else:
+
217 # for vardeclinit, the structure is slightly different
+
218 # no expansion for now
+
219 var_name = node.children[0]
+
220 var_type = node.children[1].children[0]
+
221 var_tokens = map(lambda x:
+
222 filter(lambda y: isinstance(y, str), x.children),
+
223 var_type.iter_subtrees_topdown())
+
224 type_name = var_type.children[0]
+
225 # dprint(node)
+
226 if not Primitive.get_primitive(type_name) and not type_name in self.typestypestypestypes:
+
227 # dprint(tree)
+
228 # module instantiate
+
229 new_children.append(Tree('moduleinst', node.children, node.meta))
+
230 # dprint(new_children[-1])
+
231 # assert False
+
232 continue
+
233 if type_name == 'array':
+
234 # array of module instantiations
+
235 sub_type_name = var_type.children[1].children[0]
+
236 if not Primitive.get_primitive(sub_type_name) and not sub_type_name in self.typestypestypestypes:
+
237 # inst_name, module_name, array_size
+
238 # NOTE: here we are trying to instantiate a module array
+
239 # But we don't want to unroll the array
+
240 new_node = Tree('modulearrayinst', node.children, node.meta)
+
241 new_children.append(new_node)
+
242 # inst_arr_name = node.children[0]
+
243 # n_inst = var_type.children[2][0]
+
244 # inst_type = Tree('htypeinfo', children=[var_type.children[1]])
+
245 # for i in range(n_inst):
+
246 # inst_name = inst_arr_name + '#' + str(i)
+
247 # new_children.append(Tree('moduleinst', [inst_name, inst_type], node.meta))
+
248 continue
+
249 array_of_typedef = False
+
250 for var_type_name in itertools.chain.from_iterable(var_tokens):
+
251 if var_type_name in self.typestypestypestypes: # detect the first type that is in the typedef list
+
252 self.__set_expanded(var_name, var_type)
+
253 break
+
254 elif var_type_name == "array":
+
255 continue
+
256 res = self.__expand_vardecltype(node)
+
257 new_children.extend(res)
+
258 # original type
+
259 # print('Var Name: ', var_name)
+
260 # print('Var Type: ', var_type)
+
261 # print()
+
262 tree.children = new_children
+
263 # print('---')
+
264 # for node in tree.children:
+
265 # print(node)
+
266 return tree
+
267
+
+
+ +
269 # returns the first expandable sub-tree
+
270 for subtree in htype.iter_subtrees_topdown():
+
271 if isinstance(subtree, Tree) and subtree.data == 'htype' and subtree.children[0] in self.typestypestypestypes:
+
272 return subtree
+
273 return None
+
274
+
+
+ +
276 assert isinstance(tree, Tree)
+
277 if tree.data in ['hliteral', 'hvarref']:
+
278 var_name = tree.children[0]
+
279 if self.__expanded_type(var_name):
+
280 return var_name
+
281 elif tree.data == 'harrayref':
+
282 # TODO: support for multi-dimension array
+
283 # Special cases for handling hSigAssignR as array reference
+
284 if tree.children[0].data == 'hsigassignr':
+
285 var_name = tree.children[1].children[0]
+
286 else:
+
287 var_name = tree.children[0].children[0]
288 if self.__expanded_type(var_name):
-
289 tree.children[0] = var_name + '_' + field_name
-
290 elif tree.data == 'harrayref':
-
291 var_name = tree.children[0].children[0]
+
289 return var_name
+
290 elif tree.data == 'hbinop' and tree.children[0] == 'ARRAYSUBSCRIPT': # simmilar to array ref
+
291 var_name = tree.children[1].children[0]
292 if self.__expanded_type(var_name):
-
293 tree.children[0].children[0] = var_name + '_' + field_name
-
294 elif tree.data == 'hbinop' and tree.children[0] == 'ARRAYSUBSCRIPT':
-
295 var_name = tree.children[1].children[0]
+
293 return var_name
+
294 elif tree.data == 'hvarref':
+
295 var_name = tree.children[0]
296 if self.__expanded_type(var_name):
-
297 tree.children[1].children[0] = var_name + '_' + field_name
+
297 return var_name
298 elif tree.data == 'syscread':
-
299 assert tree.children[1].data in ['hliteral', 'hvarref', 'harrayref']
-
300 if tree.children[1].data in ['harrayref']:
-
301 var_name = self.__get_expandable_var_from_tree(tree.children[1])
-
302 else:
-
303 var_name = tree.children[1].children[0]
-
304 if self.__expanded_type(var_name):
-
305 tree.children[1].children[0] = var_name + '_' + field_name
-
306 elif tree.data == 'hvarinitlist':
-
307 for t in tree.children:
-
308 self.__append_to_expandable_var_to_tree(t, field_name)
-
309
-
-
-
310 def __is_all_none(self, v):
-
311 """checks if v is None or is a (nested) list containing only none"""
-
312 if v is None:
-
313 return True
-
314 if type(v) == list:
-
315 return all(map(lambda e: self.__is_all_none(e), v))
-
316 return False
-
317
-
318
-
-
-
319 def __expand_blkassign(self, tree):
-
320 """detects the expandable variable on lhs and rhs and
-
321 expand them with the fields"""
-
322 # Note: we only need fields here, and we don't need the actual type
-
323 lhs, rhs = tree.children
-
324 # dprint('LHS ', lhs)
-
325 # dprint('RHS ', rhs, tree.data)
-
326 lhs_var = self.__get_expandable_var_from_tree(lhs)
-
327 rhs_var = self.__get_expandable_var_from_tree(rhs)
-
328 # dprint('LHS var ', lhs_var)
-
329 # dprint('isallnone ', self.__is_all_none(rhs_var))
-
330 if lhs_var is not None and (not self.__is_all_none(rhs_var) or rhs.data == 'hliteral') and (rhs_var is not None or rhs.data == 'hliteral'):
-
331 lhs_expanded_type = self.__expanded_type(lhs_var)
-
332 assert lhs_expanded_type is not None, '{} should have expanded type'.format(lhs_var)
-
333 lhs_type = self.__get_expandable_type_from_htype(lhs_expanded_type)
-
334 # dprint(rhs_var)
-
335 if isinstance(rhs_var,list):
-
336 rhs_type = self.__get_expandable_type_from_htype(self.__expanded_type(rhs_var[0]))
-
337 if lhs_type.children[0] != rhs_type.children[0]:
-
338 raise RuntimeError('Type does not match between LHS and RHS')
-
339 for remaining_rhs_var in rhs_var:
-
340 rhs_var_type = self.__get_expandable_type_from_htype(self.__expanded_type(remaining_rhs_var))
-
341 if rhs_type.children[0] != rhs_var_type.children[0]:
-
342 raise RuntimeError('Type does not match among RHS elements')
-
343 elif rhs.data != 'hliteral':
-
344 rhs_type = self.__get_expandable_type_from_htype(self.__expanded_type(rhs_var))
-
345 # dprint(rhs_type)
-
346 if lhs_type.children[0] != rhs_type.children[0]:
-
347 raise RuntimeError('Type does not match between LHS and RHS')
-
348 else:
-
349 # warnings.warn('Treating CXXDefaultArgExpr as 0')
-
350 assert rhs.data == 'hliteral'
-
351 type_name = lhs_type.children[0]
-
352 type_params = lhs_type.children[1:]
-
353 tpe = self.types[type_name]
-
354 fields = tpe.get_fields_with_instantiation(type_params, self.types)
-
355 res = []
-
356 for field_member, _ in fields:
-
357 new_assign = copy.deepcopy(tree)
-
358 if tree.data == 'blkassign':
-
359 new_assign.must_block = tree.must_block
-
360 assert type(new_assign.must_block) == type(False)
-
361 new_lhs, new_rhs = new_assign.children
-
362 self.__append_to_expandable_var_to_tree(new_lhs, field_member)
-
363 if rhs.data == 'hliteral':
-
364 new_assign.children[1] = Tree('hliteral', [0], meta=rhs.meta)
-
365 else:
-
366 self.__append_to_expandable_var_to_tree(new_rhs, field_member)
-
367 res.append(new_assign)
-
368 dprint(res)
-
369 return res
-
370 elif lhs_var is None and self.__is_all_none(rhs_var):
-
371 return [tree]
-
372 elif lhs_var is not None and self.__is_all_none(rhs_var):
-
373 return [tree]
-
374 else:
-
375 raise RuntimeError('Error while expanding blkassign, LHS and RHS expandability does not match')
-
376
-
377
-
-
-
378 def stmt(self, tree):
-
379 # TODO: expand blkassign for aggregated types
-
380 self.__push_up(tree)
-
381 new_children = []
-
382 for ch in tree.children:
-
383 if ch.data == 'blkassign':
-
384 res = self.__expand_blkassign(ch)
-
385 new_children.extend(res)
-
386 else:
-
387 new_children.append(ch)
-
388 tree.children = new_children
-
389 return tree
-
390
-
-
-
391 def __expanded_type(self, var_name):
-
392 for d in reversed(self.expanded):
-
393 if var_name in d:
-
394 return d[var_name]
-
395 return None
-
396
-
-
-
397 def __set_expanded(self, var_name, var_type):
-
398 if self.__expanded_type(var_name):
-
399 raise RuntimeError('Duplicate variable ', var_name)
-
400 self.expanded[-1][var_name] = var_type
-
401
-
-
-
402 def hprocess(self, tree):
-
403 """add another scope for a process"""
-
404 self.expanded.append(dict())
-
405 self.__push_up(tree)
-
406 self.expanded.pop()
-
407 return tree
-
408
-
-
-
409 def hfunction(self, tree):
-
410 self.expanded.append(dict())
-
411 self.__push_up(tree)
-
412 self.expanded.pop()
-
413 return tree
+
299 # this is only used in statement vardeclinit
+
300 # syscread can also be performed on hararyref
+
301 assert tree.children[1].data in ['hliteral', 'hvarref', 'harrayref'], f'Actual: {tree.children[1].data} ({tree})'
+
302 if tree.children[1].data in ['harrayref']:
+
303 var_name = self.__get_expandable_var_from_tree(tree.children[1])
+
304 else:
+
305 var_name = tree.children[1].children[0]
+
306 if self.__expanded_type(var_name):
+
307 return var_name
+
308 elif tree.data == 'hvarinitlist':
+
309 # RHS is a list of variable
+
310 new_children = []
+
311 for element in tree.children:
+
312 new_children.append(self.__get_expandable_var_from_tree(element))
+
313 return new_children
+
314 return None
+
315
+
+
+
316 def __append_to_expandable_var_to_tree(self, tree, field_name):
+
317 """append the field_name to the expandable variable in tree"""
+
318 # TODO: the self.__expanded_type is excessive
+
319 assert isinstance(tree, Tree)
+
320 if tree.data in ['hliteral', 'hvarref']:
+
321 var_name = tree.children[0]
+
322 if self.__expanded_type(var_name):
+
323 tree.children[0] = var_name + '_' + field_name
+
324 elif tree.data == 'harrayref':
+
325 var_name = tree.children[0].children[0]
+
326 if self.__expanded_type(var_name):
+
327 tree.children[0].children[0] = var_name + '_' + field_name
+
328 elif tree.data == 'hbinop' and tree.children[0] == 'ARRAYSUBSCRIPT':
+
329 var_name = tree.children[1].children[0]
+
330 if self.__expanded_type(var_name):
+
331 tree.children[1].children[0] = var_name + '_' + field_name
+
332 elif tree.data == 'syscread':
+
333 assert tree.children[1].data in ['hliteral', 'hvarref', 'harrayref']
+
334 if tree.children[1].data in ['harrayref']:
+
335 var_name = self.__get_expandable_var_from_tree(tree.children[1])
+
336 else:
+
337 var_name = tree.children[1].children[0]
+
338 if self.__expanded_type(var_name):
+
339 tree.children[1].children[0] = var_name + '_' + field_name
+
340 elif tree.data == 'hvarinitlist':
+
341 for t in tree.children:
+
342 self.__append_to_expandable_var_to_tree(t, field_name)
+
343
+
+
+
344 def __is_all_none(self, v):
+
345 """checks if v is None or is a (nested) list containing only none"""
+
346 if v is None:
+
347 return True
+
348 if type(v) == list:
+
349 return all(map(lambda e: self.__is_all_none(e), v))
+
350 return False
+
351
+
352
+
+
+
353 def __expand_blkassign(self, tree):
+
354 """detects the expandable variable on lhs and rhs and
+
355 expand them with the fields"""
+
356 # Note: we only need fields here, and we don't need the actual type
+
357 lhs, rhs = tree.children
+
358 # if lhs.children[0] == 'ts_mc_proc_local_2':
+
359 # assert False
+
360 # dprint('LHS ', lhs)
+
361 # dprint('RHS ', rhs, tree.data)
+
362 lhs_var = self.__get_expandable_var_from_tree(lhs)
+
363 rhs_var = self.__get_expandable_var_from_tree(rhs)
+
364 # dprint('LHS var ', lhs_var)
+
365 # dprint('isallnone ', self.__is_all_none(rhs_var))
+
366 if lhs_var is not None and (not self.__is_all_none(rhs_var) or rhs.data == 'hliteral') and (rhs_var is not None or rhs.data == 'hliteral'):
+
367 lhs_expanded_type = self.__expanded_type(lhs_var)
+
368 assert lhs_expanded_type is not None, '{} should have expanded type'.format(lhs_var)
+
369 lhs_type = self.__get_expandable_type_from_htype(lhs_expanded_type)
+
370 # dprint(rhs_var)
+
371 if isinstance(rhs_var,list):
+
372 rhs_type = self.__get_expandable_type_from_htype(self.__expanded_type(rhs_var[0]))
+
373 if lhs_type.children[0] != rhs_type.children[0]:
+
374 raise RuntimeError('Type does not match between LHS and RHS')
+
375 for remaining_rhs_var in rhs_var:
+
376 rhs_var_type = self.__get_expandable_type_from_htype(self.__expanded_type(remaining_rhs_var))
+
377 if rhs_type.children[0] != rhs_var_type.children[0]:
+
378 raise RuntimeError('Type does not match among RHS elements')
+
379 elif rhs.data != 'hliteral':
+
380 rhs_type = self.__get_expandable_type_from_htype(self.__expanded_type(rhs_var))
+
381 # dprint(rhs_type)
+
382 if lhs_type.children[0] != rhs_type.children[0]:
+
383 raise RuntimeError('Type does not match between LHS and RHS')
+
384 else:
+
385 # warnings.warn('Treating CXXDefaultArgExpr as 0')
+
386 assert rhs.data == 'hliteral'
+
387 type_name = lhs_type.children[0]
+
388 type_params = lhs_type.children[1:]
+
389 tpe = self.typestypestypestypes[type_name]
+
390 fields = tpe.get_fields_with_instantiation(type_params, self.typestypestypestypes)
+
391 res = []
+
392 for field_member, _ in fields:
+
393 new_assign = copy.deepcopy(tree)
+
394 if tree.data == 'blkassign':
+
395 new_assign.must_block = tree.must_block
+
396 assert type(new_assign.must_block) == type(False)
+
397 new_lhs, new_rhs = new_assign.children
+
398 self.__append_to_expandable_var_to_tree(new_lhs, field_member)
+
399 if rhs.data == 'hliteral':
+
400 new_assign.children[1] = Tree('hliteral', [0], meta=rhs.meta)
+
401 else:
+
402 self.__append_to_expandable_var_to_tree(new_rhs, field_member)
+
403 res.append(new_assign)
+
404 # dprint(res)
+
405 return res
+
406 elif lhs_var is None and self.__is_all_none(rhs_var):
+
407 return [tree]
+
408 elif lhs_var is not None and self.__is_all_none(rhs_var):
+
409 return [tree]
+
410 else:
+
411 raise RuntimeError('Error while expanding blkassign, LHS and RHS expandability does not match')
+
412
+
413
414
-
415 def hfunctionparams(self, tree):
-
416 # self.expanded.append(dict())
-
417 self.__push_up(tree)
-
418 tree.children = self.__expand_decl_in_tree_children(tree, ['funcparami', 'funcparamio'])
-
419 # self.expanded.pop()
-
420 return tree
-
421
-
-
-
422 def hmethodcall(self, tree):
-
423 self.__push_up(tree)
-
424 new_children = []
-
425 for sense_var in tree.children[1:]:
-
426 # add case for function call on array member
-
427 var_name = self.__get_expandable_var_from_tree(sense_var)
-
428 var_type = self.__expanded_type(var_name)
-
429 # dprint(var_name, self.__expanded_type(var_name))
-
430 if var_type:
-
431 var_type = self.__get_expandable_type_from_htype(var_type)
-
432 type_name = var_type.children[0]
-
433 type_params = var_type.children[1:]
-
434 tpe = self.types[type_name]
-
435 fields = tpe.get_fields_with_instantiation(type_params, self.types)
-
436 for field_name, _ in fields:
-
437 new_sense_var = copy.deepcopy(sense_var)
-
438 self.__append_to_expandable_var_to_tree(new_sense_var, field_name)
-
439 # dprint("Origina...", var_name + '_' + field_name)
-
440 # dprint(new_sense_var)
-
441 # new_children.append(var_name + '_' + field_name)
-
442 new_children.append(new_sense_var)
-
443 else:
-
444 new_children.append(sense_var)
-
445 tree.children[1:] = new_children
-
446 return tree
-
447
-
-
-
448 def vardecl(self, tree):
-
449 """for variable expansion in statement"""
-
450 self.__push_up(tree)
-
451 tree.children = self.__expand_decl_in_tree_children(tree)
-
452 return tree
-
453
-
-
-
454 def hfunctionlocalvars(self, tree):
-
455 self.__push_up(tree)
-
456 tree.children = self.__expand_decl_in_tree_children(tree)
-
457 return tree
-
458
-
-
-
459 def __expand_decl_in_tree_children(self, tree, expand_data=None):
-
460 if expand_data is None:
-
461 expand_data = ['vardeclinit']
-
462 new_children = []
-
463 for node in tree.children:
-
464 if node.data in expand_data:
-
465 var_name = node.children[0]
-
466 var_type = node.children[1].children[0]
-
467 var_tokens = map(lambda x:
-
468 filter(lambda y: isinstance(y, str), x.children),
-
469 var_type.iter_subtrees_topdown())
-
470 type_name = var_type.children[0]
-
471 if 'funcparamio' in expand_data:
-
472 # dprint(var_name, var_type, type_name)
-
473 pass
-
474 if not Primitive.get_primitive(type_name) and not type_name in self.types:
-
475 # module instantiate
-
476 assert False, 'Type {} not found or module instantiation cannot reside in process: {}, {}'.format(type_name, var_name, type_name)
-
477 for var_type_name in itertools.chain.from_iterable(var_tokens):
-
478 if var_type_name in self.types: # detect the first type that is in the typedef list
-
479 self.__set_expanded(var_name, var_type)
-
480 break
-
481 res = self.__expand_vardecltype(node)
-
482 new_children.extend(res)
-
483 else:
-
484 new_children.append(node)
-
485 return new_children
-
486
-
-
-
487 def hmodinitblock(self, tree):
-
488 """
-
489 expands the hmodinitblock
-
490 hmodinitblock includes a initialization block and portdecl block, both of which can include
-
491 aggregated types
-
492 """
-
493 self.__push_up(tree)
-
494 return tree
-
495
-
-
-
496 def portbindinglist(self, tree):
-
497 module_name, *bindings = tree.children
-
498 new_bindings = []
-
499 for binding in bindings:
-
500 mod_name, sub, par = binding.children
-
501 sub_v = sub.children[0]
-
502 if is_tree_type(par, 'hbindingarrayref'):
-
503 par_v = get_ids_in_tree(par)[0]
-
504 else:
-
505 par_v = par.children[0]
-
506 typeinfo = self.__expanded_type(par_v.value)
-
507 if typeinfo: # if the bindinding is on a customized type
-
508 type_name = self.__get_expandable_type_from_htype(typeinfo).children[0]
-
509 tpe = self.types[type_name]
-
510 b = []
-
511 for field in tpe.fields:
-
512 new_sub = copy.deepcopy(sub)
-
513 new_par = copy.deepcopy(par)
-
514
-
515 # TODO: this doesn't seem good, should have a more general wrapper
-
516 def __alternate(x):
-
517 x.value += '_' + field.children[0]
-
518
-
519 alternate_ids(new_sub, [__alternate])
-
520 alternate_ids(new_par, [__alternate])
-
521 # new_sub.children[0].value += '_' + field.children[0]
-
522 # new_par.children[0].value += '_' + field.children[0]
-
523 new_binding = copy.copy(binding)
-
524 new_binding.children = [mod_name, new_sub, new_par]
-
525 b.append(new_binding)
-
526 new_bindings.extend(b)
-
527 else:
-
528 new_bindings.append(binding)
-
529 tree.children = [module_name, new_bindings]
-
530 return tree
-
531
-
-
-
532 def hsenslist(self, tree):
-
533 self.__push_up(tree)
-
534 new_children = []
-
535 # tree.children[0] is the proc_name
-
536 for sense_var in tree.children[1:]:
-
537 # var_name = sense_var.children[0].children[0] # hvarref
-
538 var_ids = get_ids_in_tree(sense_var.children[0])
-
539 if len(var_ids) != 1:
-
540 raise ValueError('Sensitivity variable should only have one ID')
-
541 var_name = var_ids[0]
-
542 var_type = self.__expanded_type(var_name)
-
543
-
544 if var_type:
-
545 var_type = self.__get_expandable_type_from_htype(var_type)
-
546 type_name = var_type.children[0]
-
547 type_params = var_type.children[1:]
-
548 tpe = self.types[type_name]
-
549 fields = tpe.get_fields_with_instantiation(type_params, self.types)
-
550
-
551 def __alternate(x, y):
-
552 return x + '_' + y
-
553
-
554 for field_name, _ in fields:
-
555 new_sense_var = copy.deepcopy(sense_var)
-
556 # alternate_ids(new_sense_var, [lambda x: __alternate(x, field_name)])
-
557 set_ids_in_tree_dfs(new_sense_var, [lambda x: __alternate(x, field_name)])
-
558 new_children.append(new_sense_var)
-
559
-
560 else:
-
561 new_children.append(sense_var)
-
562 tree.children[1:] = new_children
-
563 return tree
-
564
-
-
-
565 def hmodule(self, tree):
-
566 """add another scope for a module"""
-
567 self.current_module = tree.children[0]
-
568 self.expanded.append(dict())
-
569 self.__push_up(tree)
-
570 self.expanded.pop()
-
571 return tree
+
415 def __expanded_type(self, var_name):
+
416 for d in reversed(self.expanded):
+
417 if var_name in d:
+
418 return d[var_name]
+
419 return None
+
420
+
+
+
421 def __set_expanded(self, var_name, var_type):
+
422 if self.__expanded_type(var_name):
+
423 raise RuntimeError('Duplicate variable ', var_name)
+
424 self.expanded[-1][var_name] = var_type
+
425
+
+
+
426 def hprocess(self, tree):
+
427
+
428 """add another scope for a process"""
+
429 with self.ctx.add_values(current_process=tree.children[0]):
+
430 self.expanded.append(dict())
+
431 self.__push_up(tree)
+
432 self.expanded.pop()
+
433 # if self.ctx.current_module == 'encode_stream_sc_module_8':
+
434 # dprint(tree.pretty())
+
435 # assert False
+
436 return tree
+
437
+
+
+
438 def hfunction(self, tree):
+
439 self.expanded.append(dict())
+
440 self.__push_up(tree)
+
441 self.expanded.pop()
+
442 return tree
+
443
+
+
+
444 def hfunctionparams(self, tree):
+
445 # self.expanded.append(dict())
+
446 self.__push_up(tree)
+
447 tree.children = self.__expand_decl_in_tree_children(tree, ['funcparami', 'funcparamio'])
+
448 # self.expanded.pop()
+
449 return tree
+
450
+
+
+
451 def hmethodcall(self, tree):
+
452 self.__push_up(tree)
+
453 new_children = []
+
454 for sense_var in tree.children[1:]:
+
455 # add case for function call on array member
+
456 var_name = self.__get_expandable_var_from_tree(sense_var)
+
457 var_type = self.__expanded_type(var_name)
+
458 # dprint(var_name, self.__expanded_type(var_name))
+
459 if var_type:
+
460 var_type = self.__get_expandable_type_from_htype(var_type)
+
461 type_name = var_type.children[0]
+
462 type_params = var_type.children[1:]
+
463 tpe = self.typestypestypestypes[type_name]
+
464 fields = tpe.get_fields_with_instantiation(type_params, self.typestypestypestypes)
+
465 for field_name, _ in fields:
+
466 new_sense_var = copy.deepcopy(sense_var)
+
467 self.__append_to_expandable_var_to_tree(new_sense_var, field_name)
+
468 # dprint("Origina...", var_name + '_' + field_name)
+
469 # dprint(new_sense_var)
+
470 # new_children.append(var_name + '_' + field_name)
+
471 new_children.append(new_sense_var)
+
472 else:
+
473 new_children.append(sense_var)
+
474 tree.children[1:] = new_children
+
475 return tree
+
476
+
+
+
477 def vardecl(self, tree):
+
478 """for variable expansion in statement"""
+
479 self.__push_up(tree)
+
480 tree.children = self.__expand_decl_in_tree_children(tree)
+
481 return tree
+
482
+
+
+
483 def hfunctionlocalvars(self, tree):
+
484 self.__push_up(tree)
+
485 tree.children = self.__expand_decl_in_tree_children(tree)
+
486 return tree
+
487
+
+
+
488 def __expand_decl_in_tree_children(self, tree, expand_data=None):
+
489 if expand_data is None:
+
490 expand_data = ['vardeclinit']
+
491 new_children = []
+
492 for node in tree.children:
+
493 if node.data in expand_data:
+
494 var_name = node.children[0]
+
495 var_type = node.children[1].children[0]
+
496 var_tokens = map(lambda x:
+
497 filter(lambda y: isinstance(y, str), x.children),
+
498 var_type.iter_subtrees_topdown())
+
499 type_name = var_type.children[0]
+
500 if 'funcparamio' in expand_data:
+
501 # dprint(var_name, var_type, type_name)
+
502 pass
+
503 if not Primitive.get_primitive(type_name) and not type_name in self.typestypestypestypes:
+
504 # module instantiate
+
505 assert False, 'Type {} not found or module instantiation cannot reside in process: {}, {}'.format(type_name, var_name, type_name)
+
506 for var_type_name in itertools.chain.from_iterable(var_tokens):
+
507 if var_type_name in self.typestypestypestypes: # detect the first type that is in the typedef list
+
508 self.__set_expanded(var_name, var_type)
+
509 break
+
510 res = self.__expand_vardecltype(node)
+
511 new_children.extend(res)
+
512 else:
+
513 new_children.append(node)
+
514 return new_children
+
515
+
+
+
516 def hmodinitblock(self, tree):
+
517 """
+
518 expands the hmodinitblock
+
519 hmodinitblock includes a initialization block and portdecl block, both of which can include
+
520 aggregated types
+
521 """
+ +
523 self.__push_up(tree)
+ +
525 return tree
+
526
+
+
527 # def stmt(self, tree):
+
528 # # TODO: expand blkassign for aggregated types
+
529 # assert False
+
530 # if self.ctx.current_module == 'encode_stream_sc_module_8':
+
531 # assert False
+
532 # self.__push_up(tree)
+
533 # new_children = []
+
534 # # dprint(tree.pretty())
+
535 # for ch in tree.children:
+
536 # if isinstance(ch, list):
+
537 # new_children.append(ch)
+
538 # elif ch.data == 'blkassign':
+
539 # res = self.__expand_blkassign(ch)
+
540 # new_children.extend(res)
+
541 # else:
+
542 # new_children.append(ch)
+
543 # tree.children = new_children
+
544 # return tree
+
545
+
+
546 def stmts(self, tree):
+
547 # if self.ctx.current_module == 'encode_stream_sc_module_8':
+
548 # tree.children = self.visit_children(tree)
+
549 # import pdb; pdb. set_trace()
+
550 # dprint(tree)
+
551 # assert False
+
552 # import pdb; pdb. set_trace()
+
553 self.__push_up(tree)
+
554
+
555 # assert False
+
556
+
557 # assert False
+
558 # if not self.is_in_initblock:
+
559 # return tree
+
560
+
561 # we might need to flatten port binidngs
+
562 new_children = []
+
563 for child in tree.children:
+
564 if isinstance(child, list):
+
565 new_children.extend(child)
+
566 else:
+
567 new_children.append(child)
+
568 tree.children = new_children
+
569 return tree
+
570
+
+
+
571 def forbody(self, tree):
+
572 self.__push_up(tree)
+ +
574 return tree
+
575 new_children = []
+
576 for ch in tree.children:
+
577 if isinstance(ch, list):
+
578 new_children.extend(ch)
+
579 else:
+
580 new_children.append(ch)
+
581 tree.children = new_children
+
582 return tree
+
583
+
584
+
+
+ +
586 assert stmt.data == 'stmt'
+
587 # portbinding is the only child
+
588 if len(stmt.children) == 1 and stmt.children[0].data == 'portbinding':
+
589 return True
+
590 return False
+
591
+
+
+
592 def stmt(self, tree):
+
593 is_portbinding = self.__check_stmt_portbinding(tree)
+
594 self.__push_up(tree)
+
595 if not self.is_in_initblockis_in_initblock or not is_portbinding:
+
596 # self.__push_up(tree)
+
597 new_children = []
+
598 # dprint(tree.pretty())
+
599 for ch in tree.children:
+
600 if isinstance(ch, list):
+
601 # new_children.append(ch)
+
602 new_children.extend(ch)
+
603 elif ch.data == 'blkassign':
+
604 res = self.__expand_blkassign(ch)
+
605 new_children.extend(res)
+
606 else:
+
607 new_children.append(ch)
+
608 tree.children = new_children
+
609 return tree
+
610
+
611 # portbinding
+
612
+
613 assert len(tree.children) == 1
+
614 new_children = tree.children[0]
+
615 tree.children = []
+
616 res = [
+
617 copy.deepcopy(tree)
+
618 for child in new_children
+
619 ]
+
620 for r, ch in zip(res, new_children):
+
621 r.children = [ch]
+
622 return res
+
623
+
624
+
625
+
+
+
626 def portbinding(self, binding):
+
627
+
628 new_bindings = []
+
629 mod_name, sub, par = binding.children
+
630 sub_v = sub.children[0]
+
631 if is_tree_type(par, 'hbindingarrayref'):
+
632 par_v = get_ids_in_tree(par)[0]
+
633 else:
+
634 par_v = par.children[0]
+
635 par_v_query = par_v.value.replace('##', '_')
+
636 typeinfo = self.__expanded_type(par_v_query)
+
637 if typeinfo: # if the bindinding is on a customized type
+
638 type_name = self.__get_expandable_type_from_htype(typeinfo).children[0]
+
639 tpe = self.typestypestypestypes[type_name]
+
640 b = []
+
641 for field in tpe.fields:
+
642 new_sub = copy.deepcopy(sub)
+
643 new_par = copy.deepcopy(par)
+
644
+
645 # TODO: this doesn't seem good, should have a more general wrapper
+
646 # def __alternate(x):
+
647 # assert isinstance(x, Token)
+
648 # x.value += '_' + field.children[0]
+
649
+
650 # alternate_ids(new_sub, [__alternate])
+
651 # alternate_ids(new_par, [__alternate])
+
652
+
653 def __map(x):
+
654 assert isinstance(x, Token)
+
655 return Token("ID", x.value + '_' + field.children[0], x.pos_in_stream, x.line, x.column)
+
656
+
657 map_hvarref_ids(new_sub, [__map])
+
658 map_hvarref_ids(new_par, [__map])
+
659 # new_sub.children[0].value += '_' + field.children[0]
+
660 # new_par.children[0].value += '_' + field.children[0]
+
661
+
662 new_binding = copy.copy(binding)
+
663 new_binding.children = [mod_name, new_sub, new_par]
+
664 b.append(new_binding)
+
665 new_bindings.extend(b)
+
666 else:
+
667 new_bindings.append(binding)
+
668
+
669 # if self.ctx.current_module == 'rvfifo_cc_sc_module_9':
+
670 # dprint(new_bindings)
+
671 return new_bindings
+
672
+
+
+
673 def portbindinglist(self, tree):
+
674 if self.ctx.current_module == 'rvfifo_cc_sc_module_9':
+
675 assert False
+
676 # TODO: deadcode, we need to remove this
+
677 module_name, *bindings = tree.children
+
678 new_bindings = []
+
679 for binding in bindings:
+
680 mod_name, sub, par = binding.children
+
681 sub_v = sub.children[0]
+
682 if is_tree_type(par, 'hbindingarrayref'):
+
683 par_v = get_ids_in_tree(par)[0]
+
684 else:
+
685 par_v = par.children[0]
+
686 typeinfo = self.__expanded_type(par_v.value)
+
687 if typeinfo: # if the bindinding is on a customized type
+
688 type_name = self.__get_expandable_type_from_htype(typeinfo).children[0]
+
689 tpe = self.typestypestypestypes[type_name]
+
690 b = []
+
691 for field in tpe.fields:
+
692 new_sub = copy.deepcopy(sub)
+
693 new_par = copy.deepcopy(par)
+
694
+
695 # TODO: this doesn't seem good, should have a more general wrapper
+
696 def __alternate(x):
+
697 x.value += '_' + field.children[0]
+
698
+
699 alternate_ids(new_sub, [__alternate])
+
700 alternate_ids(new_par, [__alternate])
+
701 # new_sub.children[0].value += '_' + field.children[0]
+
702 # new_par.children[0].value += '_' + field.children[0]
+
703 new_binding = copy.copy(binding)
+
704 new_binding.children = [mod_name, new_sub, new_par]
+
705 b.append(new_binding)
+
706 new_bindings.extend(b)
+
707 else:
+
708 new_bindings.append(binding)
+
709 tree.children = [module_name, new_bindings]
+
710 return tree
+
711
+
+
+
712 def hsenslist(self, tree):
+
713 self.__push_up(tree)
+
714 new_children = []
+
715 # tree.children[0] is the proc_name
+
716 for sense_var in tree.children[1:]:
+
717 # var_name = sense_var.children[0].children[0] # hvarref
+
718 var_ids = get_ids_in_tree(sense_var.children[0])
+
719 if len(var_ids) != 1:
+
720 raise ValueError('Sensitivity variable should only have one ID')
+
721 var_name = var_ids[0]
+
722 var_type = self.__expanded_type(var_name)
+
723
+
724 if var_type:
+
725 var_type = self.__get_expandable_type_from_htype(var_type)
+
726 type_name = var_type.children[0]
+
727 type_params = var_type.children[1:]
+
728 tpe = self.typestypestypestypes[type_name]
+
729 fields = tpe.get_fields_with_instantiation(type_params, self.typestypestypestypes)
+
730
+
731 def __alternate(x, y):
+
732 return x + '_' + y
+
733
+
734 for field_name, _ in fields:
+
735 new_sense_var = copy.deepcopy(sense_var)
+
736 # alternate_ids(new_sense_var, [lambda x: __alternate(x, field_name)])
+
737 set_ids_in_tree_dfs(new_sense_var, [lambda x: __alternate(x, field_name)])
+
738 new_children.append(new_sense_var)
+
739
+
740 else:
+
741 new_children.append(sense_var)
+
742 tree.children[1:] = new_children
+
743 return tree
+
744
+
+
+
745 def hmodule(self, tree):
+
746 """add another scope for a module"""
+
747 with self.ctx.add_values(current_module=tree.children[0]):
+
748 self.expanded.append(dict())
+
749 self.__push_up(tree)
+
750 self.expanded.pop()
+
751 return tree
__push_up(self, current_node)
Definition top_down.py:29
+ + - - + - - + + + + - - - - - - + + + + + - - - - + + + +
# detect the first type that is in the typedef list types
+ + + - - + + - + - - - - - - + + + +
# this is the only type that gets expanded types
+ + + + + + + +
+ diff --git a/typedef__filter_8py.html b/typedef__filter_8py.html index 20e59ac5..aef1c2ff 100644 --- a/typedef__filter_8py.html +++ b/typedef__filter_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/typedef_filter.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
Classes | @@ -94,17 +107,18 @@ - + - + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.transforms
namespace  parselib.transforms
 
namespace  parselib.transforms.typedef_filter
namespace  parselib.transforms.typedef_filter
 
+
diff --git a/typedef__filter_8py_source.html b/typedef__filter_8py_source.html index 101ca2a9..470ce9e8 100644 --- a/typedef__filter_8py_source.html +++ b/typedef__filter_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/typedef_filter.py Source File + + @@ -32,18 +34,23 @@
- + + @@ -80,6 +87,7 @@ +
typedef_filter.py
@@ -204,7 +212,8 @@
+ diff --git a/utils_8py.html b/utils_8py.html index df760301..6766470f 100644 --- a/utils_8py.html +++ b/utils_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/utils.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
@@ -86,11 +100,16 @@

Go to the source code of this file.

+ + + +

+Classes

class  parselib.utils.ContextManager
 
- + - +

Namespaces

namespace  parselib
namespace  parselib
 
namespace  parselib.utils
namespace  parselib.utils
 
+ + + + + + + +

@@ -101,18 +120,26 @@

 
 parselib.utils.dprint (*arg, **kwargs)
 
 parselib.utils.terminate_with_no_trace ()
 
 parselib.utils.is_tree_type (t, name)
 
 parselib.utils.is_tree_types (t, names)
 
 parselib.utils.get_ids_in_tree (tree)
 
 parselib.utils.get_ids_in_tree_types (tree, types=['hvarref'])
 
 parselib.utils.get_tree_types (tree, types=['hvarref'])
 
 parselib.utils.get_ids_in_tree_dfs (tree)
 
 parselib.utils.set_ids_in_tree_dfs (tree, ids)
 
 parselib.utils.alternate_ids (tree, ops)
 
 parselib.utils.map_hvarref_ids (tree, ops)
 
@@ -122,7 +149,8 @@ + diff --git a/utils_8py_source.html b/utils_8py_source.html index 08824d68..01b3521d 100644 --- a/utils_8py_source.html +++ b/utils_8py_source.html @@ -3,13 +3,15 @@ - +systemc-clang: plugins/hdl/parselib/utils.py Source File + + @@ -32,18 +34,23 @@

Variables

- + + @@ -80,6 +87,7 @@
+
utils.py
@@ -137,102 +145,197 @@
46 caller = getframeinfo(stack()[1][0])
47 print(os.path.basename(caller.filename), ': L', frameinfo.f_back.f_lineno, ":", "\u001b[31m", *arg, "\u001b[0m", **kwargs)
48
-
49
-
-
-
50def is_tree_type(t, name):
-
51 """Check whether t is lark Tree and whether the tree type is name"""
-
52 return isinstance(t, Tree) and t.data == name
-
53
-
54
-
-
-
55def is_tree_types(t, names):
-
56 """Check whether t is lark Tree and whether the tree type is name"""
-
57 if not isinstance(names, list):
-
58 raise ValueError('name argument should be list')
-
59 return isinstance(t, Tree) and t.data in names
-
60
-
61
-
-
-
62def get_ids_in_tree(tree):
-
63 """get all ids"""
-
64 __id_types = ['hvarref']
-
65 if not isinstance(tree, Tree):
-
66 raise ValueError('Only Tree type is accepted')
-
67 res = []
-
68 for t in tree.iter_subtrees():
-
69 if is_tree_types(t, __id_types):
-
70 assert t.children[0], 'hvarref should only contain one children'
-
71 res.append(t.children[0])
-
72 return res
-
73
-
74
-
-
-
75def get_ids_in_tree_dfs(tree):
-
76 """get all ids"""
-
77 # __id_types = ['hvarref']
-
78 # if not isinstance(tree, Tree):
-
79 # raise ValueError('Only Tree type is accepted')
-
80 # res = []
-
81 # for t in tree.iter_subtrees():
-
82 # if is_tree_types(t, __id_types):
-
83 # assert t.children[0], 'hvarref should only contain one children'
-
84 # res.append(t.children[0])
-
85 # return res
-
86
-
87 res = []
-
88 dfs_stack = list()
-
89 dfs_stack.append(tree)
-
90 i = 0
-
91 while len(dfs_stack) != 0:
-
92 t = dfs_stack.pop(0)
-
93 for idx in range(len(t.children)):
-
94 nxt = t.children[idx]
-
95 if isinstance(nxt, Tree):
-
96 dfs_stack.append(nxt)
-
97 elif is_tree_types(t, __id_types):
-
98 assert t.children[0], 'hvarref should only contain one children'
-
99 res.append(t.children[0])
-
100 return res
-
101
-
102
-
-
-
103def set_ids_in_tree_dfs(tree, ids):
-
104 __id_types = ['hvarref']
-
105 dfs_stack = list()
-
106 dfs_stack.append(tree)
-
107 i = 0
-
108 while len(dfs_stack) != 0:
-
109 t = dfs_stack.pop(0)
-
110 for idx in range(len(t.children)):
-
111 nxt = t.children[idx]
-
112 if isinstance(nxt, Tree):
-
113 dfs_stack.append(nxt)
-
114 elif is_tree_types(t, __id_types):
-
115 t.children[idx] = ids[i](t.children[idx])
-
116 i += 1
-
117
-
118
-
-
-
119def alternate_ids(tree, ops):
-
120 """Change the ids within a tree, given operations ops as an array of lambdas"""
-
121 ids = get_ids_in_tree(tree)
-
122 if len(ops) != len(ids):
-
123 raise ValueError('ops should have the same length as ids')
-
124 for idx, _ in enumerate(ids):
-
125 ops[idx](ids[idx])
+
+
+
49def terminate_with_no_trace():
+
50 assert False
+
51
+
+
+
52def is_tree_type(t, name):
+
53 """Check whether t is lark Tree and whether the tree type is name"""
+
54 return isinstance(t, Tree) and t.data == name
+
55
+
56
+
+
+
57def is_tree_types(t, names):
+
58 """Check whether t is lark Tree and whether the tree type is name"""
+
59 if not isinstance(names, list):
+
60 raise ValueError('name argument should be list')
+
61 return isinstance(t, Tree) and t.data in names
+
62
+
63
+
+
+
64def get_ids_in_tree(tree):
+
65 """get all ids"""
+
66 __id_types = ['hvarref']
+
67 if not isinstance(tree, Tree):
+
68 raise ValueError('Only Tree type is accepted')
+
69 res = []
+
70 for t in tree.iter_subtrees():
+
71 if is_tree_types(t, __id_types):
+
72 assert t.children[0], 'hvarref should only contain one children'
+
73 res.append(t.children[0])
+
74 return res
+
75
+
+
+
76def get_ids_in_tree_types(tree, types=['hvarref']):
+
77 """get all ids"""
+
78 __id_types = types
+
79 if not isinstance(tree, Tree):
+
80 raise ValueError('Only Tree type is accepted')
+
81 res = []
+
82 for t in tree.iter_subtrees():
+
83 if is_tree_types(t, __id_types):
+
84 assert t.children[0], 'hvarref should only contain one children'
+
85 res.append(t.children[0])
+
86 return res
+
87
+
88
+
+
+
89def get_tree_types(tree, types=['hvarref']):
+
90 """get all ids"""
+
91 __id_types = types
+
92 if not isinstance(tree, Tree):
+
93 raise ValueError('Only Tree type is accepted')
+
94 res = []
+
95 for t in tree.iter_subtrees():
+
96 if is_tree_types(t, __id_types):
+
97 res.append(t)
+
98 return res
+
99
+
+
+
100def get_ids_in_tree_dfs(tree):
+
101 """get all ids"""
+
102 # __id_types = ['hvarref']
+
103 # if not isinstance(tree, Tree):
+
104 # raise ValueError('Only Tree type is accepted')
+
105 # res = []
+
106 # for t in tree.iter_subtrees():
+
107 # if is_tree_types(t, __id_types):
+
108 # assert t.children[0], 'hvarref should only contain one children'
+
109 # res.append(t.children[0])
+
110 # return res
+
111
+
112 res = []
+
113 dfs_stack = list()
+
114 dfs_stack.append(tree)
+
115 i = 0
+
116 while len(dfs_stack) != 0:
+
117 t = dfs_stack.pop(0)
+
118 for idx in range(len(t.children)):
+
119 nxt = t.children[idx]
+
120 if isinstance(nxt, Tree):
+
121 dfs_stack.append(nxt)
+
122 elif is_tree_types(t, __id_types):
+
123 assert t.children[0], 'hvarref should only contain one children'
+
124 res.append(t.children[0])
+
125 return res
126
+
127
+
+
+
128def set_ids_in_tree_dfs(tree, ids):
+
129 __id_types = ['hvarref']
+
130 dfs_stack = list()
+
131 dfs_stack.append(tree)
+
132 i = 0
+
133 while len(dfs_stack) != 0:
+
134 t = dfs_stack.pop(0)
+
135 for idx in range(len(t.children)):
+
136 nxt = t.children[idx]
+
137 if isinstance(nxt, Tree):
+
138 dfs_stack.append(nxt)
+
139 elif is_tree_types(t, __id_types):
+
140 t.children[idx] = ids[i](t.children[idx])
+
141 i += 1
+
142
+
143
+
+
+
144def alternate_ids(tree, ops):
+
145 """Change the ids within a tree, given operations ops as an array of lambdas"""
+
146 ids = get_ids_in_tree(tree)
+
147 if len(ops) != len(ids):
+
148 raise ValueError('ops should have the same length as ids')
+
149 for idx, _ in enumerate(ids):
+
150 ops[idx](ids[idx])
+
151
+
+
+
152def map_hvarref_ids(tree, ops):
+
153 """get all and apply mapping function"""
+
154 __id_types = ['hvarref']
+
155 if not isinstance(tree, Tree):
+
156 raise ValueError('Only Tree type is accepted')
+
157 # res = []
+
158 idx = 0
+
159 for t in tree.iter_subtrees():
+
160 if is_tree_types(t, __id_types):
+
161 assert len(t.children) == 1, 'hvarref should only contain one children'
+
162 mapped_token = ops[idx](t.children[0])
+
163 assert mapped_token, 'mapping function should return a token'
+
164 t.children[0] = mapped_token
+
165 idx += 1
+
166 # res.append(t.children[0])
+
167
+
168
+
+
+
169class ContextManager(object):
+
+
170 def __init__(self):
+
171 self.stack = []
+
172
+
+
+
173 def __getattr__(self, key):
+
174 if key in self.__dict__:
+
175 return self.__dict__[key]
+
176 for d in reversed(self.stack):
+
177 if key in d:
+
178 return d[key]
+
179 return None
+
180
+
+
+
181 def search_key_in_outer_context(self, key):
+
182 if len(self.stack) <= 1:
+
183 return None
+
184
+
185 for d in reversed(self.stack[:-1]):
+
186 if key in d:
+
187 return d[key]
+
188 return None
+
189
+
+
+
190 def add_values(self, **kwargs):
+
191 self.stack.append(kwargs)
+
192 return self
+
193
+
+
+
194 def __enter__(self):
+
195 return self
+
196
+
+
+
197 def __exit__(self, type, value, traceback):
+
198 self.stack.pop()
+
tidify(verilog, current_indent=0, indent_width=2)
Definition utils.py:6
+ diff --git a/verilog__tranlation_8py.html b/verilog__tranlation_8py.html index 4319e59e..6905c4d9 100644 --- a/verilog__tranlation_8py.html +++ b/verilog__tranlation_8py.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/verilog_tranlation.py File Reference + + @@ -32,23 +34,33 @@ - + + + +
verilog_tranlation.py File Reference
+ diff --git a/verilog__tranlation_8py_source.html b/verilog__tranlation_8py_source.html index 649aa6d7..de4a26c7 100644 --- a/verilog__tranlation_8py_source.html +++ b/verilog__tranlation_8py_source.html @@ -3,13 +3,15 @@ - + systemc-clang: plugins/hdl/parselib/transforms/verilog_tranlation.py Source File + + @@ -32,18 +34,23 @@ - + + @@ -80,6 +87,7 @@ +
verilog_tranlation.py
@@ -88,1504 +96,1933 @@
2from .top_down import TopDown
3from ..primitives import *
4from ..utils import dprint, is_tree_type, get_ids_in_tree
-
5from lark import Tree, Token
-
6from functools import reduce
-
7import pprint
-
8import logging
-
9
-
10
-
- -
12 """Translate low-level format of the _hdl.txt into Verilog
-
13 Note that type defs are already expanded at this point, so all htypeinfo/htype should only include primitive types
-
14 This pass does not perform any tree transformation that alters the semantics, but **only** generates Verilog
-
15 """
-
-
16 def __init__(self):
-
17 super().__init__()
- - -
20 self.indent_inc = 2
-
21 self.indent_stack = list()
-
22 self.bindings = dict()
-
23 self.local_variables = set()
-
24 self.in_for_init = False
-
25 self.module_var_type = None
- - -
28 self.is_in_thread = False
-
29 self.thread_comb = False
-
30
-
-
- -
32 """denotes one of four types of scope: loop, switch, branch, None
-
33 currently, this is only useful for determine the handling of breaks
-
34 """
-
35 return self.__current_scope_type[-1]
-
36
-
-
-
37 def push_current_scope_type(self, scope):
-
38 assert scope in ['loop', 'switch', 'branch'], 'Incorrect scope type'
-
39 self.__current_scope_type.append(scope)
-
40
-
-
- -
42 self.__current_scope_type.pop()
-
43
-
44
-
-
-
45 def start(self, tree):
-
46 self.__push_up(tree)
-
47 return tree.children[0]
+
5from ..utils import terminate_with_no_trace
+
6from ..utils import ContextManager
+
7from lark import Tree, Token
+
8from functools import reduce
+
9import pprint
+
10import logging
+
11from .interface_generation import Interface, PortDecl
+
12
+
13module_arg = ''
+
14module_inst_arg = ''
+
15interface_arg = ''
+
16interface_inst_arg = ''
+
17port_decl_inst_arg = ''
+
18
+
19# module_arg = '(* keep = "true" *) '
+
20# module_inst_arg = '(* keep = "true" *) '
+
21# interface_arg = '(* keep = "true" *) '
+
22# interface_inst_arg = '(* keep = "true" *) '
+
23# port_decl_inst_arg = '(* mark_debug = "true" *) (* keep = "true" *) '
+
24
+
+ +
26 """Translate low-level format of the _hdl.txt into Verilog
+
27 Note that type defs are already expanded at this point, so all htypeinfo/htype should only include primitive types
+
28 This pass does not perform any tree transformation that alters the semantics, but **only** generates Verilog
+
29 """
+
+
30 def __init__(self, itf_meta):
+
31 super().__init__()
+ + +
34 self.indent_inc = 2
+
35 self.indent_stack = list()
+
36 self.bindingsbindings = dict()
+
37 self.local_variables = set()
+
38 self.in_for_init = False
+
39 self.module_var_type = None
+ + +
42 self.is_in_thread = False
+
43 self.thread_comb = False
+ + +
46 self.itf_meta = itf_meta
+
48
-
49 def modulelist(self, tree):
-
50 self.__push_up(tree)
-
51 res = '\n'.join(tree.children)
-
52 return res
-
53
-
-
-
54 def nonrefexp(self, tree):
-
55 self.__push_up(tree)
-
56 assert len(tree.children) == 1
-
57 return tree.children[0]
+ +
50 """denotes one of four types of scope: loop, switch, branch, None
+
51 currently, this is only useful for determine the handling of breaks
+
52 """
+
53 return self.__current_scope_type[-1]
+
54
+
+
+
55 def push_current_scope_type(self, scope):
+
56 assert scope in ['loop', 'switch', 'branch'], 'Incorrect scope type'
+
57 self.__current_scope_type.append(scope)
58
-
59 def __get_var_name(self, tree):
-
60 if isinstance(tree, str):
-
61 return tree
-
62 elif isinstance(tree, Tree):
-
63 if tree.data in ['hvarref']:
-
64 return tree.children[0]
-
65 elif tree.data in ['harrayref', 'hslice']:
-
66 return self.__get_var_name(tree.children[0])
-
67 assert False, 'Cannot extract variable name from {}'.format(tree)
-
68
-
-
-
69 def __get_var_names(self, tree):
-
70 """return a list of variable names"""
-
71 if isinstance(tree, Tree) and tree.data in ['hconcat']:
-
72 return reduce(lambda x, y: x + y, [self.__get_var_names(sub) for sub in tree.children])
-
73 else:
-
74 return [self.__get_var_name(tree)]
-
75
-
76
-
-
-
77 def hmethodcall(self, tree):
-
78 self.__push_up(tree)
-
79 return '{}({})'.format(tree.children[0], ','.join(map(str, tree.children[1:])))
-
80
-
-
-
81 def hwait(self, tree):
-
82 warnings.warn('hwait encountered, not implemented')
-
83 return "// hwait"
+ +
60 self.__current_scope_type.pop()
+
61
+
+
+
62 def interfaces(self, tree):
+
63 self.__push_up(tree)
+
64 return '\n'.join(tree.children)
+
65
+
+
+
66 def interface(self, tree):
+
67 itf_name = tree.children[0]
+
68 port_decls = tree.children[1:]
+
69 res = f'{self.get_current_ind_prefix()}{interface_arg}interface {itf_name};\n'
+
70 self.inc_indent()
+
71
+
72 for port_decl in port_decls:
+
73 self.__push_up(port_decl.type)
+
74 name, *args = port_decl.type.children
+
75 tpe = Primitive.get_primitive(name)
+
76 assert tpe is not None, 'Type {} is not defined'.format(name)
+
77 tx = tpe(*args)
+
78 if isinstance(tx, array):
+
79 tx.T = tx.T.T
+
80 type_signature = tx.to_str(port_decl.name)
+
81 else:
+
82 type_signature = tx.T.to_str(port_decl.name)
+
83 res += f'{self.get_current_ind_prefix()}{type_signature}\n'
84
-
-
-
85 def hvarinitlist(self, tree):
-
86 self.__push_up(tree)
-
87 return '{' + ','.join(tree.children) + '}'
-
88
-
-
-
89 def blkassign(self, tree):
-
90 # dprint("--------------START----------------")
-
91 current_proc = self.get_current_proc_name()
-
92 sense_list = self.get_sense_list()
-
93
-
94 # dprint(self.current_module, ':', current_proc)
-
95 # dprint('Sensitivity: ', pprint.pformat(self.get_sense_list()))
-
96 # dprint('Var w/ type: ', pprint.pformat(self.module_var_type))
-
97 var_names = self.__get_var_names(tree.children[0])
-
98 tpes = [self.get_current_module_var_type_or_default(vn) for vn in var_names]
-
99 all_none = all(t is None for t in tpes)
-
100 all_non_none = all(t is not None for t in tpes)
-
101 if not all_none and not all_non_none:
-
102 raise ValueError('LHS of assignment must be all local variables or all non-local variables. On line: {}.'.format(tree.line))
-
103 is_nb = [isinstance(tpe, sc_signal) or
-
104 isinstance(tpe, sc_out) or
-
105 isinstance(tpe, array) and isinstance(tpe.get_element_type(), sc_signal) for tpe in tpes]
-
106 # is_nb checks whether one of the type needs to be non-blocking assignment
-
107 # and special case for thread
-
108 all_nb = all(is_nb) or current_proc in ['#thread_sync#']
-
109 all_b = all(not p for p in is_nb) or (self.is_in_thread and current_proc in ['#function#'])
-
110 if not all_nb and not all_b:
-
111 raise ValueError('The assignment must not mix blocking assignment and non-blocking assignment. On line: {}.'.format(tree.line))
-
112
-
113 # var_name = self.__get_var_name(tree.children[0])
-
114 # tpe = self.get_current_module_var_type_or_default(var_name)
-
115 # if tpe is None, it is either a local variable within a process or block, in this case, it should be =
-
116 # if current_proc not in ['#initblock#', '#function#']: # TODO: use a more programmatic way
-
117 # dprint("--------------END-------------------")
-
118 blocking = True
-
119 # while using non-blocking assignments in function is not recommended, we will need to use
-
120 # non-blocking assignments in a function so that its value can get properly assigned
-
121 # An example of such is the m_bits_data_valid signal in encode_stream
-
122 # In SystemC, when a signal is used in RHS, it will be added to the sensitivity list
-
123 if current_proc in sense_list or (current_proc in ['#function#', '#thread_sync#'] and not (self.is_in_thread and current_proc == '#function#')):
-
124 # sense_list = sense_list[current_proc]
-
125 # tpe is only recorded if the declaration crosses process boundary
-
126 # if tpe is not None:
-
127 if all_non_none:
-
128 # dprint(tpe.get_element_type())
-
129 # if isinstance(tpe, sc_signal) or \
-
130 # isinstance(tpe, sc_out) or \
-
131 # isinstance(tpe, array) and isinstance(tpe.get_element_type(), sc_signal):
-
132 # dprint('Changed to non-blocking assignment: '.format(var_name))
-
133 if all_nb:
-
134 blocking = False
-
135 if self.thread_comb:
-
136 blocking = True
-
137 self.__push_up(tree)
-
138 assert len(tree.children) == 2
-
139 is_local_var = self.__all_local_variables(var_names)
-
140 op = '=' if self.in_for_init or blocking or is_local_var else '<='
-
141 l = tree.children[0]
-
142 r = tree.children[1]
-
143
-
144
-
145 if type(l) == Tree and l.data == 'harrayref':
-
146 # __A[__r:__s] = __X
-
147 __A = l.children[0]
-
148 __r = l.children[1]
-
149 __s = l.children[2]
-
150 l = __A
-
151 if type(r) == Tree and r.data == 'harrayref': # special case for irreducible RHS
-
152 __X = r.children[3]
-
153 __B = r.children[0]
-
154 else:
-
155 __X = r
-
156 __B = r
-
157 r = "(({} & ~(~($bits({})'('b0)) << (({})-({})+1))) << ({})) | (({}) & ((~($bits({})'('b0)) ) << (({}) + 1) | ~(( ~($bits({})'('b0)) ) << ({}))))".format(
-
158 __X, __B,
-
159 __r, __s,
-
160 __s, __A,
-
161 __A, __r, __A, __s
-
162 )
-
163 elif type(r) == Tree and r.data == 'harrayref':
-
164 r = r.children[3]
-
165
-
166 res = '{} {} {}'.format(l, op, r)
-
167 return res
-
168
-
-
-
169 def syscwrite(self, tree):
-
170 raise RuntimeError('Unsupported node: syscwrite')
-
171 self.__push_up(tree)
-
172 res = '{} {} {}'.format(tree.children[1], tree.children[0], tree.children[2])
-
173 return res
-
174
-
175
-
-
-
176 def numlitwidth(self, tree):
-
177 self.__push_up(tree)
-
178 lit, tpe = tree.children
-
179 assert hasattr(tpe, 'width'), 'Literal width type should have width member'
-
180 w = tpe.width # the primitive type must have width
-
181 return "{}{}'d{}".format('-' if lit < 0 else '', w, abs(lit))
-
182
-
-
-
183 def hcondop(self, tree):
-
184 self.__push_up(tree)
-
185 return '{} ? {} : {}'.format(tree.children[0], tree.children[1], tree.children[2])
-
186
-
-
-
187 def hliteral(self, tree):
-
188 """stops at literal, it is some kinds of terminal"""
-
189 self.__push_up(tree)
-
190 assert len(tree.children) == 1
-
191 return str(tree.children[0])
-
192
-
-
-
193 def hvarref(self, tree):
-
194 assert len(tree.children) == 1
-
195 if is_tree_type(tree.children[0], 'func_param_name_stub'):
-
196 return tree.children[0].children[0]
-
197 stripped = tree.children[0].replace("#", "")
-
198 return stripped
-
199
-
-
-
200 def syscread(self, tree):
-
201 """syscread: hsigassignr, token"""
-
202 self.__push_up(tree)
-
203 return tree.children[1]
-
204
-
-
-
205 def __check_const(self, tree):
-
206 """check whether the tree valuates to constant"""
-
207 if isinstance(tree, int):
-
208 return True
-
209 elif is_tree_type(tree, 'hliteral'):
-
210 return True
-
211 elif is_tree_type(tree, 'hbinop'):
-
212 return self.__check_const(tree.children[1]) and self.__check_const(tree.children[2])
-
213 return False
-
214
-
-
-
215 def _clean_harrayref(self, harrayref_node):
-
216 assert harrayref_node.data == 'harrayref'
-
217 if is_tree_type(harrayref_node.children[0], 'hsigassignr'):
-
218 # dprint(harrayref_node)
-
219 harrayref_node.children = harrayref_node.children[1:]
-
220 # dprint(harrayref_node)
-
221
-
-
-
222 def harrayref(self, tree):
-
223 # Check whether
-
224 l_const = None
-
225 r_const = None
-
226 self._clean_harrayref(tree)
-
227 if isinstance(tree.children[0], Tree) and tree.children[0].data == 'hslice':
-
228 if len(tree.children[0].children) == 3:
-
229 hslice = tree.children[0]
-
230 l, r = hslice.children[1:]
-
231 l_const = self.__check_const(l)
-
232 r_const = self.__check_const(r)
-
233
-
234 self.__push_up(tree)
-
235 if isinstance(tree.children[0], Tree) and tree.children[0].data == 'hslice':
-
236 hslice = tree.children[0]
-
237 var = hslice.children[0]
-
238 m = None
-
239 if len(hslice.children) == 3:
-
240 l, r = hslice.children[1:]
-
241 elif len(hslice.children) == 2:
-
242 m = hslice.children[1]
-
243 # l_const = isinstance(l, int)
-
244 # r_const = isinstance(r, int)
-
245 if l_const and r_const:
-
246 idx = '{}:{}'.format(l, r)
-
247 elif m is not None:
-
248 idx = '{}'.format(m)
-
249 else:
-
250 # for slicing that is not constant
-
251 tree.children = [var, l, r, "(({}) >> ({})) & ~(~($bits({})'('b0)) << (({}) - ({}) + 1))".format(var, r, var, l, r)]
-
252 return tree # irreducible hslice node
-
253 else:
-
254 var, idx = tree.children
-
255 res = '{}[{}]'.format(var, idx)
+
85 in_names = []
+
86 out_names = []
+
87 for port_decl in port_decls:
+
88 p: PortDecl = port_decl
+
89 if p.direction == 'input':
+
90 in_names.append(p.name)
+
91 elif p.direction == 'output':
+
92 out_names.append(p.name)
+
93 modport = f'{self.get_current_ind_prefix()}modport port0(\n'
+
94 self.inc_indent()
+
95 modport += f'{self.get_current_ind_prefix()}input {",".join(in_names)},\n'
+
96 modport += f'{self.get_current_ind_prefix()}output {",".join(out_names)}\n'
+
97 self.dec_indent()
+
98 modport += f'{self.get_current_ind_prefix()});\n'
+
99
+
100 self.dec_indent()
+
101 res += modport
+
102 res += f'{self.get_current_ind_prefix()}endinterface\n'
+
103 return res
+
104
+
+
+
105 def start(self, tree):
+
106 dprint(tree.pretty())
+
107 self.__push_up(tree)
+
108 return tree.children[0]
+
109
+
+
+
110 def modulelist(self, tree):
+
111 self.__push_up(tree)
+
112 res = '\n'.join(tree.children)
+
113 return res
+
114
+
+
+
115 def nonrefexp(self, tree):
+
116 self.__push_up(tree)
+
117 assert len(tree.children) == 1
+
118 return tree.children[0]
+
119
+
+
+
120 def __get_var_name(self, tree):
+
121 if isinstance(tree, str):
+
122 return tree
+
123 elif isinstance(tree, Tree):
+
124 if tree.data in ['hvarref']:
+
125 return tree.children[0]
+
126 elif tree.data in ['harrayref', 'hslice']:
+
127 return self.__get_var_name(tree.children[0])
+
128 assert False, 'Cannot extract variable name from {}'.format(tree)
+
129
+
+
+
130 def __get_var_names(self, tree):
+
131 """return a list of variable names"""
+
132 if isinstance(tree, Tree) and tree.data in ['hconcat']:
+
133 return reduce(lambda x, y: x + y, [self.__get_var_names(sub) for sub in tree.children])
+
134 else:
+
135 return [self.__get_var_name(tree)]
+
136
+
137
+
+
+
138 def hmethodcall(self, tree):
+
139 with self.ctx.add_values(is_in_hmethodcall=True):
+
140 self.__push_up(tree)
+
141 # temporary hack
+
142 if tree.children[0] == 'zhw__plane_reg2__plane_reg_func_0':
+
143 return f'// TODO: fix this function call {tree.children}';
+
144 return '{}({})'.format(tree.children[0], ','.join(map(str, tree.children[1:])))
+
145
+
+
+
146 def hwait(self, tree):
+
147 warnings.warn('hwait encountered, not implemented')
+
148 return "// hwait"
+
149
+
+
+
150 def hvarinitlist(self, tree):
+
151 self.__push_up(tree)
+
152 return '{' + ','.join(tree.children) + '}'
+
153
+
+
+
154 def blkassign(self, tree):
+
155 # dprint("--------------START----------------")
+
156 current_proc = self.get_current_proc_name()
+
157 sense_list = self.get_sense_list()
+
158
+
159 # dprint(self.current_module, ':', current_proc)
+
160 # dprint('Sensitivity: ', pprint.pformat(self.get_sense_list()))
+
161 # dprint('Var w/ type: ', pprint.pformat(self.module_var_type))
+
162 var_names = self.__get_var_names(tree.children[0])
+
163 is_intf = [
+
164 isinstance(vn, Token) and vn.type == 'INTF_ID'
+
165 for vn in var_names
+
166 ]
+
167 tpes = [self.get_current_module_var_type_or_default(vn) for vn in var_names]
+
168 all_none = all(t is None for t in tpes)
+
169 all_non_none = all(
+
170 t is not None or is_intf[i] for i, t in enumerate(tpes)
+
171 )
+
172 assert len(is_intf) == len(tpes)
+
173 if not all_none and not all_non_none:
+
174 raise ValueError('LHS of assignment must be all local variables or all non-local variables. On line: {}.'.format(tree.line))
+
175 is_nb = [isinstance(tpe, sc_signal) or
+
176 isinstance(tpe, sc_out) or
+
177 isinstance(tpe, array) and isinstance(tpe.get_element_type(), sc_signal) for tpe in tpes]
+
178
+
179 is_nb = [
+
180 is_nb[i] or is_intf[i] for i in range(len(is_nb))
+
181 ]
+
182 # is_nb checks whether one of the type needs to be non-blocking assignment
+
183 # and special case for thread
+
184 all_nb = all(is_nb) or current_proc in ['#thread_sync#']
+
185 all_b = all(not p for p in is_nb) or (self.is_in_thread and current_proc in ['#function#'])
+
186 if not all_nb and not all_b:
+
187 raise ValueError('The assignment must not mix blocking assignment and non-blocking assignment. On line: {}.'.format(tree.line))
+
188
+
189 # var_name = self.__get_var_name(tree.children[0])
+
190 # tpe = self.get_current_module_var_type_or_default(var_name)
+
191 # if tpe is None, it is either a local variable within a process or block, in this case, it should be =
+
192 # if current_proc not in ['#initblock#', '#function#']: # TODO: use a more programmatic way
+
193 # dprint("--------------END-------------------")
+
194 blocking = True
+
195 # while using non-blocking assignments in function is not recommended, we will need to use
+
196 # non-blocking assignments in a function so that its value can get properly assigned
+
197 # An example of such is the m_bits_data_valid signal in encode_stream
+
198 # In SystemC, when a signal is used in RHS, it will be added to the sensitivity list
+
199 if current_proc in sense_list or (current_proc in ['#function#', '#thread_sync#'] and not (self.is_in_thread and current_proc == '#function#')):
+
200 # sense_list = sense_list[current_proc]
+
201 # tpe is only recorded if the declaration crosses process boundary
+
202 # if tpe is not None:
+
203 if all_non_none:
+
204 # dprint(tpe.get_element_type())
+
205 # if isinstance(tpe, sc_signal) or \
+
206 # isinstance(tpe, sc_out) or \
+
207 # isinstance(tpe, array) and isinstance(tpe.get_element_type(), sc_signal):
+
208 # dprint('Changed to non-blocking assignment: '.format(var_name))
+
209 if all_nb:
+
210 blocking = False
+
211 if self.thread_comb:
+
212 blocking = True
+
213 self.__push_up(tree)
+
214 assert len(tree.children) == 2
+
215 is_local_var = self.__all_local_variables(var_names)
+
216 op = '=' if self.in_for_init or blocking or is_local_var else '<='
+
217 l = tree.children[0]
+
218 r = tree.children[1]
+
219
+
220
+
221 if type(l) == Tree and l.data == 'harrayref':
+
222 # __A[__r:__s] = __X
+
223 __A = l.children[0]
+
224 __r = l.children[1]
+
225 __s = l.children[2]
+
226 l = __A
+
227 if type(r) == Tree and r.data == 'harrayref': # special case for irreducible RHS
+
228 __X = r.children[3]
+
229 __B = r.children[0]
+
230 else:
+
231 __X = r
+
232 __B = r
+
233 r = "(({} & ~(~($bits({})'('b0)) << (({})-({})+1))) << ({})) | (({}) & ((~($bits({})'('b0)) ) << (({}) + 1) | ~(( ~($bits({})'('b0)) ) << ({}))))".format(
+
234 __X, __B,
+
235 __r, __s,
+
236 __s, __A,
+
237 __A, __r, __A, __s
+
238 )
+
239 elif type(r) == Tree and r.data == 'harrayref':
+
240 r = r.children[3]
+
241
+
242 # FIXME: this handling of shared signal across thread/comb block should be fixed
+
243 if (current_proc is None) or ('thread' not in current_proc and '#function#' not in current_proc):
+ +
245
+
246 res = '{} {} {}'.format(l, op, r)
+
247
+
248 if (current_proc is not None) and 'thread' in current_proc and l in self.non_thread_comb_signalsnon_thread_comb_signals:
+
249 res = ''
+
250 return res
+
251
+
+
+
252 def syscwrite(self, tree):
+
253 raise RuntimeError('Unsupported node: syscwrite')
+
254 self.__push_up(tree)
+
255 res = '{} {} {}'.format(tree.children[1], tree.children[0], tree.children[2])
256 return res
257
-
-
-
258 def hsigassignl(self, tree):
-
259 warnings.warn('Implementing SigAssignL as non-blocking')
-
260 return '<='
-
261
-
-
-
262 def __is_local_variable(self, var_name):
-
263 return var_name in self.local_variables
-
264
-
-
-
265 def __all_local_variables(self, var_names):
-
266 return all(self.__is_local_variable(var_name) for var_name in var_names)
-
267
-
-
-
268 def hbinop(self, tree):
-
269 self.__push_up(tree)
-
270 if tree.children[0] == 'ARRAYSUBSCRIPT':
-
271 res = '{}[({})]'.format(tree.children[1], tree.children[2])
-
272 else:
-
273 op = tree.children[0]
-
274 if op == '=':
-
275 pass
-
276 # op = '<='
-
277 if op == ',': # concatenation
-
278 res = '{{({}), ({})}}'.format(tree.children[1], tree.children[2])
-
279 elif op == '>>': # Note: shift right
-
280 res = '({}) {} ({})'.format(tree.children[1], '>>>', tree.children[2])
-
281 elif op == 'concat':
-
282 res = '{{ ({}) {} ({}) }}'.format(tree.children[1], ',', tree.children[2])
-
283 elif op == '@=': # non-blocking assignment in thread context
-
284 res = '{} <= ({})'.format(tree.children[1], tree.children[2])
-
285 else:
-
286 res = '({}) {} ({})'.format(tree.children[1], op, tree.children[2])
-
287 return res
-
288
-
-
-
289 def hpostfix(self, tree):
-
290 self.__push_up(tree)
-
291 return "{}{}".format(tree.children[1], tree.children[0])
-
292
-
-
-
293 def hprefix(self, tree):
-
294 self.__push_up(tree)
-
295 return "{}{}".format(tree.children[0], tree.children[1])
-
296
-
-
-
297 def hunop(self, tree):
-
298 self.__push_up(tree)
-
299 if len(tree.children) == 1:
-
300 return tree.children[0]
-
301 elif len(tree.children) == 2:
-
302 res = '{}({})'.format(tree.children[0], tree.children[1])
-
303 return res
-
304 else:
-
305 assert False
-
306 # The ++ and -- only shows in loop
-
307 # The original method is deprecated, we can hand over the self-increment to the synthesizer
-
308 # since we assue that we generate system verilog
-
309 # if tree.children[0] == '++':
-
310 # res = '{} = {} + 1'.format(tree.children[1], tree.children[1])
-
311 # elif tree.children[0] == '--':
-
312 # res = '{} = {} - 1'.format(tree.children[1], tree.children[1])
-
313 # else:
-
314 # res = '{}({})'.format(tree.children[0], tree.children[1])
-
315 # return res
+
258
+
+
+
259 def numlitwidth(self, tree):
+
260 self.__push_up(tree)
+
261 lit, tpe = tree.children
+
262 assert hasattr(tpe, 'width'), 'Literal width type should have width member'
+
263 w = tpe.width # the primitive type must have width
+
264 return "{}{}'d{}".format('-' if lit < 0 else '', w, abs(lit))
+
265
+
+
+
266 def hcondop(self, tree):
+
267 self.__push_up(tree)
+
268 return '{} ? {} : {}'.format(tree.children[0], tree.children[1], tree.children[2])
+
269
+
+
+
270 def hliteral(self, tree):
+
271 """stops at literal, it is some kinds of terminal"""
+
272 self.__push_up(tree)
+
273 assert len(tree.children) == 1
+
274 return str(tree.children[0])
+
275
+
+
+
276 def hvarref(self, tree):
+
277 assert len(tree.children) == 1
+
278 if is_tree_type(tree.children[0], 'func_param_name_stub'):
+
279 return tree.children[0].children[0]
+
280 stripped = tree.children[0].replace("#", "")
+
281 return stripped
+
282
+
+
+
283 def syscread(self, tree):
+
284 """syscread: hsigassignr, token"""
+
285 self.__push_up(tree)
+
286 return tree.children[1]
+
287
+
+
+
288 def __check_const(self, tree):
+
289 """check whether the tree valuates to constant"""
+
290 if isinstance(tree, int):
+
291 return True
+
292 elif is_tree_type(tree, 'hliteral'):
+
293 return True
+
294 elif is_tree_type(tree, 'hbinop'):
+
295 return self.__check_const(tree.children[1]) and self.__check_const(tree.children[2])
+
296 return False
+
297
+
+
+
298 def _clean_harrayref(self, harrayref_node):
+
299 assert harrayref_node.data == 'harrayref'
+
300 if is_tree_type(harrayref_node.children[0], 'hsigassignr'):
+
301 # dprint(harrayref_node)
+
302 harrayref_node.children = harrayref_node.children[1:]
+
303 # dprint(harrayref_node)
+
304
+
+
+
305 def harrayref(self, tree):
+
306 # Check whether
+
307 l_const = None
+
308 r_const = None
+
309 self._clean_harrayref(tree)
+
310 if isinstance(tree.children[0], Tree) and tree.children[0].data == 'hslice':
+
311 if len(tree.children[0].children) == 3:
+
312 hslice = tree.children[0]
+
313 l, r = hslice.children[1:]
+
314 l_const = self.__check_const(l)
+
315 r_const = self.__check_const(r)
316
-
-
-
317 def hcstmt(self, tree):
-
318 self.__push_up(tree)
-
319 assert len(tree.children) <= 1, "hcstmt should contain 0 or 1 child"
-
320 if tree.children:
-
321 return tree.children[0]
-
322 else:
-
323 return ''
-
324
-
-
-
325 def continuestmt(self, tree):
-
326 return 'continue'
-
327
-
-
- -
329 ind = self.current_indent * self.indent_character
-
330 return ind
-
331
-
-
-
332 def casevalue(self, tree):
-
333 self.__push_up(tree)
-
334 return tree.children[0]
-
335
-
-
-
336 def switchbody(self, tree):
-
337 self.push_current_scope_type('switch')
-
338 self.__push_up(tree)
- -
340 return '\n'.join(tree.children)
-
341
-
-
-
342 def casestmt(self, tree):
-
343 self.inc_indent()
-
344 self.__push_up(tree)
-
345 self.dec_indent()
-
346 ind = self.get_current_ind_prefix()
-
347 res = '{}{}: begin\n{}\n{}end'.format(ind, tree.children[0], '\n'.join(tree.children[1:]), ind)
-
348 return res
-
349
-
-
-
350 def switchcond(self, tree):
-
351 self.__push_up(tree)
-
352 return tree.children[0]
-
353
-
-
-
354 def switchstmt(self, tree):
-
355 self.inc_indent()
-
356 self.__push_up(tree)
-
357 self.dec_indent()
-
358 ind = self.get_current_ind_prefix()
-
359 res = '{}case({})\n{}\n{}endcase'.format(ind, tree.children[0], tree.children[1], ind)
-
360 return res
-
361
-
-
-
362 def breakstmt(self, tree):
-
363 if self.get_current_scope_type() in ['switch']:
-
364 return ""
-
365 else:
-
366 ind = self.get_current_ind_prefix()
-
367 res = '{}break;'.format(ind)
-
368 return res
-
369
-
-
-
370 def stmt(self, tree):
-
371 indentation = []
-
372 sep = []
-
373 noindent = ['hcstmt', 'ifstmt', 'forstmt', 'switchstmt', 'casestmt', 'breakstmt', 'whilestmt', 'dostmt']
-
374 nosemico = ['hcstmt', 'ifstmt', 'forstmt', 'switchstmt', 'casestmt', 'breakstmt', 'whilestmt', 'dostmt']
-
375 for x in tree.children:
-
376 if x.data in noindent:
-
377 indentation.append('')
-
378 else:
-
379 indentation.append(self.get_current_ind_prefix())
-
380 if x.data in nosemico:
-
381 sep.append('')
-
382 else:
-
383 sep.append(';')
-
384
-
385 self.__push_up(tree)
-
386 def f_concat(x):
-
387 try:
-
388 if isinstance(x[1], Tree):
-
389 if x[1].data == 'expression_in_stmt':
-
390 # logging.warning('Expression as a statement may not have an effect. On line: {}'.format(x[1].line))
-
391 x = (x[0], x[1].children[0], x[2])
-
392 else:
-
393 assert False, 'Unrecognized construct: {}'.format(x[1])
-
394 res = str(x[0]) + str(x[1]) + str(x[2])
-
395 return res
-
396 except Exception as e:
-
397 print(x[0])
-
398 print(x[1])
-
399 print(x[2])
-
400 raise
-
401 res = '\n'.join(map(f_concat,
-
402 filter(lambda x: x[1] is not None, zip(indentation, tree.children, sep))
-
403 ))
-
404 return res
+
317 self.__push_up(tree)
+
318 if isinstance(tree.children[0], Tree) and tree.children[0].data == 'hslice':
+
319 hslice = tree.children[0]
+
320 var = hslice.children[0]
+
321 m = None
+
322 if len(hslice.children) == 3:
+
323 l, r = hslice.children[1:]
+
324 elif len(hslice.children) == 2:
+
325 m = hslice.children[1]
+
326 # l_const = isinstance(l, int)
+
327 # r_const = isinstance(r, int)
+
328 if l_const and r_const:
+
329 idx = '{}:{}'.format(l, r)
+
330 elif m is not None:
+
331 idx = '{}'.format(m)
+
332 else:
+
333 # for slicing that is not constant
+
334 tree.children = [var, l, r, "(({}) >> ({})) & ~(~($bits({})'('b0)) << (({}) - ({}) + 1))".format(var, r, var, l, r)]
+
335 return tree # irreducible hslice node
+
336 else:
+
337 var, idx = tree.children
+
338 res = '{}[{}]'.format(var, idx)
+
339 return res
+
340
+
+
+
341 def hsigassignl(self, tree):
+
342 warnings.warn('Implementing SigAssignL as non-blocking')
+
343 return '<='
+
344
+
+
+
345 def __is_local_variable(self, var_name):
+
346 return var_name in self.local_variables
+
347
+
+
+
348 def __all_local_variables(self, var_names):
+
349 return all(self.__is_local_variable(var_name) for var_name in var_names)
+
350
+
+
+
351 def hbinop(self, tree):
+
352 method_call_lhs = is_tree_type(tree.children[1], 'hmethodcall')
+
353 self.__push_up(tree)
+
354 if tree.children[0] == 'ARRAYSUBSCRIPT':
+
355 res = '{}[({})]'.format(tree.children[1], tree.children[2])
+
356 # special case handling for hemthodcall nodes:
+
357 #
+
358 if self.ctx.is_in_hmethodcall:
+
359 if method_call_lhs:
+
360 res = '{} & (1 << {})'.format(tree.children[1], tree.children[2])
+
361 else:
+
362 op = tree.children[0]
+
363 if op == '=':
+
364 pass
+
365 # op = '<='
+
366 if op == ',': # concatenation
+
367 res = '{{({}), ({})}}'.format(tree.children[1], tree.children[2])
+
368 elif op == '>>': # Note: shift right
+
369 res = '({}) {} ({})'.format(tree.children[1], '>>>', tree.children[2])
+
370 elif op == 'concat':
+
371 res = '{{ ({}) {} ({}) }}'.format(tree.children[1], ',', tree.children[2])
+
372 elif op == '@=': # non-blocking assignment in thread context
+
373 res = '{} <= ({})'.format(tree.children[1], tree.children[2])
+
374 else:
+
375 res = '({}) {} ({})'.format(tree.children[1], op, tree.children[2])
+
376 return res
+
377
+
+
+
378 def hpostfix(self, tree):
+
379 self.__push_up(tree)
+
380 return "{}{}".format(tree.children[1], tree.children[0])
+
381
+
+
+
382 def hprefix(self, tree):
+
383 self.__push_up(tree)
+
384 return "{}{}".format(tree.children[0], tree.children[1])
+
385
+
+
+
386 def hunop(self, tree):
+
387 self.__push_up(tree)
+
388 if len(tree.children) == 1:
+
389 return tree.children[0]
+
390 elif len(tree.children) == 2:
+
391 res = '{}({})'.format(tree.children[0], tree.children[1])
+
392 return res
+
393 else:
+
394 assert False
+
395 # The ++ and -- only shows in loop
+
396 # The original method is deprecated, we can hand over the self-increment to the synthesizer
+
397 # since we assue that we generate system verilog
+
398 # if tree.children[0] == '++':
+
399 # res = '{} = {} + 1'.format(tree.children[1], tree.children[1])
+
400 # elif tree.children[0] == '--':
+
401 # res = '{} = {} - 1'.format(tree.children[1], tree.children[1])
+
402 # else:
+
403 # res = '{}({})'.format(tree.children[0], tree.children[1])
+
404 # return res
405
-
406 def hnoop(self, tree):
-
407 warnings.warn('Encountered noop at line: {}'.format(tree.meta.line))
-
408 return ""
-
409
-
-
-
410 def whilestmt(self, tree):
-
411 self.push_current_scope_type('loop')
-
412 self.inc_indent()
-
413 self.__push_up(tree)
-
414 self.dec_indent()
-
415 prefix = self.get_current_ind_prefix()
-
416 res = "{}while({}) begin\n".format(prefix, tree.children[0])
-
417 res += ''.join(tree.children[1:])
-
418 res += '\n{}end'.format(prefix)
- -
420 return res
-
421
-
-
-
422 def dostmt(self, tree):
-
423 self.push_current_scope_type('loop')
-
424 self.inc_indent()
-
425 self.__push_up(tree)
-
426 self.dec_indent()
-
427 prefix = self.get_current_ind_prefix()
-
428
-
429 res = "{}do begin\n".format(prefix)
-
430 res += ''.join(tree.children[1:])
-
431 res += '\n{}end while({})'.format(prefix, tree.children[0])
-
432
- -
434 return res
-
435
-
-
-
436 def stmts(self, tree):
-
437 self.__push_up(tree)
-
438 res = '\n'.join(tree.children)
-
439 return res
-
440
-
-
-
441 def inc_indent(self):
-
442 self.current_indent += self.indent_inc
-
443
-
-
-
444 def dec_indent(self):
-
445 self.current_indent -= self.indent_inc
-
446
-
-
-
447 def push_indent(self):
-
448 """used to service temporary indent removal, such as that in for condition"""
-
449 self.indent_stack.append(self.current_indent)
-
450 self.current_indent = 0
+
406 def hcstmt(self, tree):
+
407 self.__push_up(tree)
+
408 assert len(tree.children) <= 1, "hcstmt should contain 0 or 1 child"
+
409 if tree.children:
+
410 return tree.children[0]
+
411 else:
+
412 return ''
+
413
+
+
+
414 def continuestmt(self, tree):
+
415 return 'continue'
+
416
+
+
+ +
418 ind = self.current_indent * self.indent_character
+
419 return ind
+
420
+
+
+
421 def casevalue(self, tree):
+
422 self.__push_up(tree)
+
423 return tree.children[0]
+
424
+
+
+
425 def switchbody(self, tree):
+
426 self.push_current_scope_type('switch')
+
427 self.__push_up(tree)
+ +
429 return '\n'.join(tree.children)
+
430
+
+
+
431 def casestmt(self, tree):
+
432 self.inc_indent()
+
433 self.__push_up(tree)
+
434 self.dec_indent()
+
435 ind = self.get_current_ind_prefix()
+
436 res = '{}{}: begin\n{}\n{}end'.format(ind, tree.children[0], '\n'.join(tree.children[1:]), ind)
+
437 return res
+
438
+
+
+
439 def switchcond(self, tree):
+
440 self.__push_up(tree)
+
441 return tree.children[0]
+
442
+
+
+
443 def switchstmt(self, tree):
+
444 self.inc_indent()
+
445 self.__push_up(tree)
+
446 self.dec_indent()
+
447 ind = self.get_current_ind_prefix()
+
448 res = '{}case({})\n{}\n{}endcase'.format(ind, tree.children[0], tree.children[1], ind)
+
449 return res
+
450
-
451 def pop_indent(self):
-
452 self.current_indent = self.indent_stack.pop()
-
453
-
-
-
454 def ifstmt(self, tree):
-
455 self.push_current_scope_type('branch')
-
456 self.inc_indent()
-
457 self.__push_up(tree)
-
458 self.dec_indent()
-
459 ind = self.get_current_ind_prefix()
-
460 res = ind + 'if ({}) begin\n'.format(tree.children[0])
-
461 if len(tree.children) > 1:
-
462 res += tree.children[1] + '\n'
-
463 res += ind + 'end'
-
464 # print('If Body: ', tree.children[1])
-
465 if len(tree.children) == 3:
-
466 res += ' else begin\n' + tree.children[2]
-
467 res += '\n'
-
468 res += ind + 'end\n'
-
469
- -
471 return res
-
472
-
-
-
473 def forinit(self, tree):
-
474
-
475 self.in_for_init = True
+
451 def breakstmt(self, tree):
+
452 if self.get_current_scope_type() in ['switch']:
+
453 return ""
+
454 else:
+
455 ind = self.get_current_ind_prefix()
+
456 res = '{}break;'.format(ind)
+
457 return res
+
458
+
+
+
459 def stmt(self, tree):
+
460 indentation = []
+
461 sep = []
+
462 noindent = ['hcstmt', 'ifstmt', 'forstmt', 'switchstmt', 'casestmt', 'breakstmt', 'whilestmt', 'dostmt']
+
463 nosemico = ['hcstmt', 'ifstmt', 'forstmt', 'switchstmt', 'casestmt', 'breakstmt', 'whilestmt', 'dostmt']
+
464 for x in tree.children:
+
465 if x is None:
+
466 continue
+
467 if x.data in noindent:
+
468 indentation.append('')
+
469 else:
+
470 indentation.append(self.get_current_ind_prefix())
+
471 if x.data in nosemico:
+
472 sep.append('')
+
473 else:
+
474 sep.append(';')
+
475
476 self.__push_up(tree)
-
477 self.in_for_init = False
-
478
-
479 if tree.children:
-
480 return tree.children[0]
-
481 else:
-
482 return ''
-
483
-
-
-
484 def forcond(self, tree):
-
485 self.__push_up(tree)
-
486 return tree.children[0]
-
487
-
-
-
488 def forpostcond(self, tree):
-
489 self.__push_up(tree)
-
490 return tree.children[0]
-
491
-
-
-
492 def forbody(self, tree):
-
493 self.__push_up(tree)
-
494 return tree.children[0]
-
495
-
-
-
496 def forstmt(self, tree):
-
497
-
498 self.push_current_scope_type('loop')
-
499 new_children = []
-
500 self.push_indent()
-
501 new_children.extend(self.visit(t) for t in tree.children[:3])
-
502 self.pop_indent()
-
503
-
504 self.inc_indent()
-
505 new_children.extend(self.visit(t) for t in tree.children[3:])
-
506 self.dec_indent()
-
507
-
508 for_init, for_cond, for_post, for_body = new_children
-
509
-
510 ind = self.get_current_ind_prefix()
-
511 res = ind + 'for ({};{};{}) begin\n'.format(for_init, for_cond, for_post)
-
512 res += for_body + '\n'
-
513 res += ind + 'end'
- -
515 return res
-
516
-
-
-
517 def hsensvars(self, tree):
-
518 self.__push_up(tree)
-
519 return tree
-
520
-
-
-
521 def npa(self, tree):
-
522 return tree.children[0]
-
523
-
-
-
524 def hsensedge(self, tree):
-
525 self.__push_up(tree)
-
526 return tree.children[0]
-
527
-
-
-
528 def get_sense_list(self):
-
529 return self.senselist
-
530
-
-
-
531 def hsenslist(self, tree):
-
532 self.__push_up(tree)
-
533 proc_name = tree.children[0]
-
534 assert proc_name not in self.senselist, 'Duplicated process: {}'.format(proc_name)
-
535 self.senselist[proc_name] = []
-
536 for sv in tree.children[1:]:
-
537 # special treatment
-
538 sens_var, sens_edge = sv.children
-
539 if is_tree_type(sv.children[0], "hsensvar"):
-
540 warnings.warn("Malformatted sensitivity list")
-
541 sens_edge, sens_var = sv.children[0].children
-
542 if sens_edge == 'posedge_event':
-
543 edge = 'posedge'
-
544 elif sens_edge == 'negedge_event':
-
545 edge = 'negedge'
-
546 else:
-
547 edge = ''
-
548 sen_str = '{} {}'.format(edge, sens_var)
-
549 else:
-
550 if isinstance(sens_var, Token):
-
551 sens_var = sens_var.value
-
552 if sens_edge == 'always':
-
553 sen_str = sens_var
-
554 elif sens_edge in ['pos', 'posedge_event']:
-
555 sen_str = 'posedge {}'.format(sens_var)
-
556 elif sens_edge in ['neg', 'negedge_event']:
-
557 sen_str = 'negedge {}'.format(sens_var)
-
558 else:
-
559 raise ValueError('Edge can only be one of pos/neg/always')
-
560 self.senselist[proc_name].append(sen_str)
-
561 return None
-
562
-
-
-
563 def hvalchange(self, tree):
-
564 warnings.warn('value change is deprecated, but is detected in hcode', DeprecationWarning)
-
565 self.__push_up(tree)
-
566 return tree.children[0]
+
477 def f_concat(x):
+
478 try:
+
479 if isinstance(x[1], Tree):
+
480 if x[1].data == 'expression_in_stmt':
+
481 # logging.warning('Expression as a statement may not have an effect. On line: {}'.format(x[1].line))
+
482 x = (x[0], x[1].children[0], x[2])
+
483 res = str(x[0]) + str(x[1]) + str(x[2])
+
484 elif x[1].data == 'portbinding':
+
485 ch = x[1].children
+
486 if hasattr(x[1], 'swap_for_for_loop'):
+
487 # TODO: this assign here is just to please the synthesizer
+
488 # otherwise synthesis won't go through
+
489 if 'NONAME' in ch[0]:
+
490 dot_access = '' if 'NONAME' in ch[0] else (ch[0] + '.')
+
491 else:
+
492 module_name = ch[0]
+
493 interface_name = Interface.generate_instance_name(module_name, False)
+
494 dot_access = '{}.'.format(interface_name)
+
495 assignment = f"assign {ch[1]} = {dot_access}{ch[2]}"
+
496 else:
+
497 ind = self.get_current_ind_prefix()
+
498 self.inc_indent()
+
499 self.inc_indent()
+
500 ind_always = self.get_current_ind_prefix()
+
501 self.dec_indent()
+
502 self.dec_indent()
+
503 ind_end = self.get_current_ind_prefix()
+
504 if 'NONAME' in ch[0]:
+
505 dot_access = '' if 'NONAME' in ch[0] else (ch[0] + '.')
+
506 else:
+
507 module_name = ch[0]
+
508 interface_name = Interface.generate_instance_name(module_name, False)
+
509 dot_access = '{}.'.format(interface_name)
+
510 # assignment = f"always @(*) begin\n{ind_always}{dot_access}{ch[1]} = {ch[2]};\n{ind_end}end"
+
511 assignment = f"{ind}assign {dot_access}{ch[1]} = {ch[2]}"
+
512 res = ''.join([x[0], assignment, x[2]])
+
513 elif x[1].data == 'hnamedsensvar':
+
514 res = f'{x[0]} /* always {x[1].children[1]} */ {x[2]}'
+
515 else:
+
516 assert False, 'Unrecognized construct: {}'.format(x[1])
+
517 else:
+
518 res = ''.join(x)
+
519 return res
+
520 except Exception as e:
+
521 print(x[0])
+
522 print(x[1])
+
523 print(x[2])
+
524 raise
+
525 res = '\n'.join(map(f_concat,
+
526 filter(lambda x: x[1] is not None, zip(indentation, tree.children, sep))
+
527 ))
+
528 return res
+
529
+
+
+
530 def hnoop(self, tree):
+
531 warnings.warn('Encountered noop at line: {}'.format(tree.meta.line))
+
532 return ""
+
533
+
+
+
534 def whilestmt(self, tree):
+
535 self.push_current_scope_type('loop')
+
536 self.inc_indent()
+
537 self.__push_up(tree)
+
538 self.dec_indent()
+
539 prefix = self.get_current_ind_prefix()
+
540 res = "{}while({}) begin\n".format(prefix, tree.children[0])
+
541 res += ''.join(tree.children[1:])
+
542 res += '\n{}end'.format(prefix)
+ +
544 return res
+
545
+
+
+
546 def dostmt(self, tree):
+
547 self.push_current_scope_type('loop')
+
548 self.inc_indent()
+
549 self.__push_up(tree)
+
550 self.dec_indent()
+
551 prefix = self.get_current_ind_prefix()
+
552
+
553 res = "{}do begin\n".format(prefix)
+
554 res += ''.join(tree.children[1:])
+
555 res += '\n{}end while({})'.format(prefix, tree.children[0])
+
556
+ +
558 return res
+
559
+
+
+
560 def stmts(self, tree):
+
561 self.__push_up(tree)
+
562 res = '\n'.join(tree.children)
+
563 return res
+
564
+
+
+
565 def inc_indent(self):
+
566 self.current_indent += self.indent_inc
567
-
568 def set_current_proc_name(self, name):
-
569 self.current_proc_name = name
+
568 def dec_indent(self):
+
569 self.current_indent -= self.indent_inc
570
- -
572 self.current_proc_name = None
-
573
-
-
- -
575 return self.current_proc_name
-
576
-
-
- -
578 return any('posedge' in x or 'negedge' in x for x in sense_list)
-
579
-
-
-
580 def hprocess(self, tree):
-
581 proc_name, proc_name_2, prevardecl, *body = tree.children
-
582 self.set_current_proc_name(proc_name)
-
583 for n in prevardecl.children:
-
584 var_name = n.children[0].children[0] # get the variable name of local variables
-
585 self.__add_local_variables(var_name)
-
586 self.inc_indent()
-
587 self.__push_up(tree)
-
588 self.dec_indent()
-
589
-
590 proc_name, proc_name_2, prevardecl, *body = tree.children
-
591
-
592 prevardecl.children = list(filter(lambda x: not is_tree_type(x, 'vardeclrn'), prevardecl.children))
+
571 def push_indent(self):
+
572 """used to service temporary indent removal, such as that in for condition"""
+
573 self.indent_stack.append(self.current_indent)
+
574 self.current_indent = 0
+
+
+
575 def pop_indent(self):
+
576 self.current_indent = self.indent_stack.pop()
+
577
+
+
+
578 def ifstmt(self, tree):
+
579 self.push_current_scope_type('branch')
+
580 self.inc_indent()
+
581 self.__push_up(tree)
+
582 self.dec_indent()
+
583 ind = self.get_current_ind_prefix()
+
584 res = ind + 'if ({}) begin\n'.format(tree.children[0])
+
585 if len(tree.children) > 1:
+
586 res += tree.children[1] + '\n'
+
587 res += ind + 'end'
+
588 # print('If Body: ', tree.children[1])
+
589 if len(tree.children) == 3:
+
590 res += ' else begin\n' + tree.children[2]
+
591 res += '\n'
+
592 res += ind + 'end\n'
593
-
594 ind = self.get_current_ind_prefix()
-
595 decls = list(map(lambda x: x[0] + ';', prevardecl.children))
-
596 decls_init = list(map(lambda x: '{} = {};'.format(x[1], x[2]), filter(lambda x: len(x) == 3 and x[2] is not None, prevardecl.children)))
-
597 sense_list = self.get_sense_list()
-
598 assert proc_name in sense_list, "Process name {} is not in module {}".format(proc_name, self.current_module)
-
599 # res = ind + 'always @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[proc_name]), proc_name)
-
600 if self.__is_synchronous_sensitivity_list(sense_list[proc_name]):
-
601 res = ind + 'always_ff @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[proc_name]), proc_name)
-
602 else:
-
603 res = ind + 'always @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[proc_name]), proc_name)
-
604 # res = ind + 'always_comb begin: {}\n'.format(proc_name)
-
605 self.inc_indent()
-
606 ind = self.get_current_ind_prefix()
-
607 res += ind + ('\n' + ind).join(decls) + '\n'
-
608 res += ind + ('\n' + ind).join(decls_init) + '\n'
-
609 self.dec_indent()
-
610 ind = self.get_current_ind_prefix()
-
611 res += '\n'.join(body) + '\n'
-
612 res += ind + 'end'
- - -
615 return res
-
616
-
-
- -
618 self.local_variables = set()
+ +
595 return res
+
596
+
+
+
597 def forinit(self, tree):
+
598
+
599 self.in_for_init = True
+
600 self.__push_up(tree)
+
601 self.in_for_init = False
+
602
+
603 if tree.children:
+
604 return tree.children[0]
+
605 else:
+
606 return ''
+
607
+
+
+
608 def forcond(self, tree):
+
609 self.__push_up(tree)
+
610 return tree.children[0]
+
611
+
+
+
612 def forpostcond(self, tree):
+
613 self.__push_up(tree)
+
614 return tree.children[0]
+
615
+
+
+
616 def forbody(self, tree):
+
617 self.__push_up(tree)
+
618 return tree.children[0]
619
-
620 def __add_local_variables(self, var_name):
-
621 assert var_name not in self.local_variables, 'Local variable {} already existed'.format(var_name)
-
622 self.local_variables.add(var_name)
+
620 def forstmt(self, tree):
+ +
622 return self.__forstmt_gen_block(tree)
623
-
-
-
624 def htype(self, tree):
-
625 self.__push_up(tree)
-
626 name, *args = tree.children
-
627 tpe = Primitive.get_primitive(name)
-
628 assert tpe is not None, 'Type {} is not defined'.format(name)
-
629 return tpe(*args)
-
630
-
-
-
631 def hreturnstmt(self, tree):
-
632 self.__push_up(tree)
-
633 logging.warning(
-
634 """Return statement is detected and omitted.\n"""
-
635 """ A return statement may not produce expected result,\n"""
-
636 """ consider removing it in the C++ code.\n"""
-
637 )
-
638 if len(tree.children) == 1:
-
639 return 'return {}'.format(tree.children[0])
-
640 elif len(tree.children) == 0:
-
641 return 'return'
-
642 return ''
-
643 else:
-
644 assert len(tree.children) in [0, 1], 'return statement can only have 0 or 1 return value'
-
645
-
-
-
646 def __gen_funcparam(self, tree):
-
647 self.__push_up(tree)
-
648 var_name, tpe = tree.children
-
649 ctx = TypeContext(suffix='')
-
650 decl = tpe.to_str(var_name, context=ctx)
-
651 # if self.get_current_proc_name() is None:
-
652 # # we only check variables that are across processes, otherwise, there is no point in having non-blocking
-
653 # # assignment
-
654 # self.insert_current_module_var_type(var_name, tpe)
-
655 return decl, var_name, None
-
656
-
-
-
657 def funcparami(self, tree):
-
658 return self.__gen_funcparam(tree)
-
659
-
-
-
660 def funcparamio(self, tree):
-
661 return self.__gen_funcparam(tree)
-
662
-
-
-
663 def vardeclinit(self, tree):
-
664 self.__push_up(tree)
-
665 init_val = None
-
666 tpe = None
-
667 if len(tree.children) == 2:
-
668 var_name, tpe = tree.children
-
669 elif len(tree.children) == 3:
-
670 var_name, tpe, init_val = tree.children
-
671 else:
-
672 assert False, 'children size of vardeclinit is not 2 or 3, there might be a bug in the translator'
-
673 ctx = TypeContext(suffix='')
-
674 decl = tpe.to_str(var_name, context=ctx)
-
675 if self.get_current_proc_name() is None:
-
676 # we only check variables that are across processes, otherwise, there is no point in having non-blocking
-
677 # assignment
-
678 self.insert_current_module_var_type(var_name, tpe)
-
679 return (decl, var_name, init_val)
-
680
-
-
-
681 def hbindingarrayref(self, tree):
-
682 """
-
683 this expansion should only be invoked by expanding_binding_ref and should not be invoked elsewhere
-
684 the reason is that we need to collect binding information per arry-like port
-
685 """
-
686 self.__push_up(tree)
-
687 return '{}[{}]'.format(tree.children[0], tree.children[1])
-
688
-
-
-
689 def expand_binding_ref(self, tree):
-
690 if not is_tree_type(tree, 'hbindingarrayref'):
-
691 raise ValueError('expand_binding_ref only accepts hbindingarrayref')
-
692 self.__push_back(tree)
-
693 return '{}[{}]'.format(tree.children[0], tree.children[1])
-
694
-
-
-
695 def moduleinst(self, tree):
-
696 # dprint(tree)
-
697 mod_name, mod_type = tree.children
-
698 # expand if it is an element of module array
-
699 mod_name = '_'.join(mod_name.split('#'))
-
700 if len(mod_type.children[0].children) > 1:
-
701 warnings.warn('Type parameters for modules are not supported')
-
702 mod_type_name = mod_type.children[0].children[0]
-
703 if mod_name not in self.bindings:
-
704 warnings.warn('Port bindings for module instance name {} not found'.format(mod_name))
-
705 bindings = []
-
706 else:
-
707 bindings = self.bindings[mod_name]
-
708 def extract_binding_name(x):
-
709 # FIXME: when the port connection is 2D, the original approach may not work
-
710 return get_ids_in_tree(x[0])[0]
-
711 # if is_tree_type(x[0], 'hbindingarrayref'):
-
712 # res = x[0].children[0].children[0]
-
713 # else:
-
714 # res = x[0].children[0]
-
715 # return res
-
716 orig_bindings = bindings
-
717 bindings_normal = list(filter(lambda x: '.' not in extract_binding_name(x), orig_bindings))
-
718 bindings_hier = list(filter(lambda x: '.' in extract_binding_name(x), orig_bindings))
-
719 bindings = bindings_normal
-
720 ind = self.get_current_ind_prefix()
-
721 res = ind + '{} {}('.format(mod_type_name, mod_name) + '\n'
-
722 self.inc_indent()
-
723 ind = self.get_current_ind_prefix()
-
724 binding_str = []
-
725 array_bindings = {}
-
726 for binding in bindings:
-
727 # for backward compatibility, we keep the case where binding is a list
-
728 if type(binding) == list:
-
729 sub, par = binding
-
730 else:
-
731 warnings.warn('Using Tree as binding is deprecated', DeprecationWarning)
-
732 sub, par = binding.children
-
733 if is_tree_type(sub, 'hbindingarrayref'):
-
734 # The .xxx part is an array
-
735 sub_name = get_ids_in_tree(sub)[0].value # assuming varref
-
736 if sub_name not in array_bindings:
-
737 array_bindings[sub_name] = {}
-
738 # if sub.children[0].data == 'hbindingarrayref':
-
739 # raise ValueError('nested 2-D array port is not supported')
-
740 array_bindings[sub_name][sub.children[1].children[0]] = par
-
741 else:
-
742 # at this point, the par should be able to be fully expanded even if it is an array
-
743 if is_tree_type(par, 'hbindingarrayref'):
-
744 par = self.expand_binding_ref(par)
-
745 else:
-
746 par = par.children[0].value
-
747 binding_str.append(ind + '.{}({})'.format(sub.children[0].value, par))
-
748 for sub_name, bindings in array_bindings.items():
-
749 # for now, we keep a dict of array binding
-
750 array_seq = [None] * len(bindings)
-
751 for idx, b in bindings.items():
-
752 # dprint(self.expand_binding_ref(b))
-
753 # array_seq[idx] = '{}[{}]'.format(b.children[0].children[0].value, b.children[1].children[0])
-
754 array_seq[idx] = self.expand_binding_ref(b)
-
755 binding_str.append(ind + ".{}('{{ {} }})".format(
-
756 sub_name, ','.join(array_seq)
-
757 ))
-
758 res += ',\n'.join(binding_str)
-
759 res += '\n'
-
760 self.dec_indent()
-
761 ind = self.get_current_ind_prefix()
-
762 res += ind + ');'
-
763 res += '\n'
-
764 res += ind + "always @(*) begin\n"
-
765 # res += ind + "always_comb begin\n"
-
766 self.inc_indent()
-
767 ind = self.get_current_ind_prefix()
-
768 for bl, br in bindings_hier:
-
769 res += ind + '{} = {};\n'.format(bl.children[0], br.children[0])
-
770 self.dec_indent()
-
771 ind = self.get_current_ind_prefix()
-
772 res += ind + "end\n"
-
773 # add an always block for port binding when we encounter sub module case
-
774 tree.children = [res]
-
775 return tree
-
776
-
-
-
777 def hlrotate(self, tree):
-
778 self.__push_up(tree)
-
779 val, rot = tree.children
-
780 return '({} << {}) | ($unsigned({}) >> ($bits({}) - {}))'.format(val, rot, val, val, rot)
-
-
-
781 def horreduce(self, tree):
-
782 self.__push_up(tree)
-
783 val = tree.children[0]
-
784 return '(|{})'.format(val)
+
624 self.push_current_scope_type('loop')
+
625 new_children = []
+
626 self.push_indent()
+
627 new_children.extend(self.visit(t) for t in tree.children[:3])
+
628 self.pop_indent()
+
629
+
630 self.inc_indent()
+
631 new_children.extend(self.visit(t) for t in tree.children[3:])
+
632 self.dec_indent()
+
633
+
634 if len(new_children) == 3:
+
635 warnings.warn("empty for loop")
+
636 for_init, for_cond, for_post = new_children
+
637 for_body = ''
+
638 else:
+
639 for_init, for_cond, for_post, for_body = new_children
+
640
+
641 ind = self.get_current_ind_prefix()
+
642 res = ind + 'for ({};{};{}) begin\n'.format(for_init, for_cond, for_post)
+
643 res += for_body + '\n'
+
644 res += ind + 'end'
+ +
646 return res
+
647
+
+
+
648 def hsensvars(self, tree):
+
649 self.__push_up(tree)
+
650 return tree
+
651
+
+
+
652 def npa(self, tree):
+
653 return tree.children[0]
+
654
+
+
+
655 def hsensedge(self, tree):
+
656 self.__push_up(tree)
+
657 return tree.children[0]
+
658
+
+
+
659 def get_sense_list(self):
+
660 return self.senselistsenselist
+
661
+
+
+
662 def hsenslist(self, tree):
+
663 self.__push_up(tree)
+
664 proc_name = tree.children[0]
+
665 assert proc_name not in self.senselistsenselist, 'Duplicated process: {}'.format(proc_name)
+
666 self.senselistsenselist[proc_name] = []
+
667 for sv in tree.children[1:]:
+
668 # special treatment
+
669 sens_var, sens_edge = sv.children
+
670 if is_tree_type(sv.children[0], "hsensvar"):
+
671 warnings.warn("Malformatted sensitivity list")
+
672 sens_edge, sens_var = sv.children[0].children
+
673 if sens_edge == 'posedge_event':
+
674 edge = 'posedge'
+
675 elif sens_edge == 'negedge_event':
+
676 edge = 'negedge'
+
677 else:
+
678 edge = ''
+
679 sen_str = '{} {}'.format(edge, sens_var)
+
680 else:
+
681 if isinstance(sens_var, Token):
+
682 sens_var = sens_var.value
+
683 if sens_edge == 'always':
+
684 sen_str = sens_var
+
685 elif sens_edge in ['pos', 'posedge_event']:
+
686 sen_str = 'posedge {}'.format(sens_var)
+
687 elif sens_edge in ['neg', 'negedge_event']:
+
688 sen_str = 'negedge {}'.format(sens_var)
+
689 else:
+
690 raise ValueError('Edge can only be one of pos/neg/always')
+
691 self.senselistsenselist[proc_name].append(sen_str)
+
692 return None
+
693
+
+
+
694 def hvalchange(self, tree):
+
695 warnings.warn('value change is deprecated, but is detected in hcode', DeprecationWarning)
+
696 self.__push_up(tree)
+
697 return tree.children[0]
+
698
+
+
+
699 def set_current_proc_name(self, name):
+
700 self.current_proc_name = name
+
701
+
+
+ +
703 self.current_proc_name = None
+
704
+
+
+ +
706 return self.current_proc_name
+
707
+
+
+ +
709 return any('posedge' in x or 'negedge' in x for x in sense_list)
+
710
+
+
+
711 def hprocess(self, tree):
+
712 proc_name, proc_name_2, prevardecl, *body = tree.children
+
713
+
714 self.set_current_proc_name(proc_name)
+
715 for n in prevardecl.children:
+
716 var_name = n.children[0].children[0] # get the variable name of local variables
+
717 self.__add_local_variables(var_name)
+
718 self.inc_indent()
+
719
+
720 if hasattr(tree, 'force_sensevar'):
+
721 tree.children = [tree.force_sensevar] + tree.children
+
722 self.__push_up(tree)
+
723 if hasattr(tree, 'force_sensevar'):
+
724 tree.force_sensevar = tree.children[0]
+
725 tree.children = tree.children[1:]
+
726 self.dec_indent()
+
727
+
728 proc_name, proc_name_2, prevardecl, *body = tree.children
+
729
+
730 prevardecl.children = list(filter(lambda x: not is_tree_type(x, 'vardeclrn'), prevardecl.children))
+
731
+
732 ind = self.get_current_ind_prefix()
+
733 decls = list(map(lambda x: x[0] + ';', prevardecl.children))
+
734 decls_init = list(map(lambda x: '{} = {};'.format(x[1], x[2]), filter(lambda x: len(x) == 3 and x[2] is not None, prevardecl.children)))
+
735 sense_list = self.get_sense_list()
+
736 assert proc_name in sense_list, "Process name {} is not in module {}".format(proc_name, self.current_module)
+
737 # res = ind + 'always @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[proc_name]), proc_name)
+
738 if hasattr(tree, 'force_sensevar'):
+
739 res = ind + 'always @({}) begin: {}\n'.format(tree.force_sensevar, proc_name)
+
740 elif self.__is_synchronous_sensitivity_list(sense_list[proc_name]):
+
741 res = ind + 'always_ff @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[proc_name]), proc_name)
+
742 else:
+
743 res = ind + 'always @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[proc_name]), proc_name)
+
744 # res = ind + 'always_comb begin: {}\n'.format(proc_name)
+
745 self.inc_indent()
+
746 ind = self.get_current_ind_prefix()
+
747 res += ind + ('\n' + ind).join(decls) + '\n'
+
748 res += ind + ('\n' + ind).join(decls_init) + '\n'
+
749 self.dec_indent()
+
750 ind = self.get_current_ind_prefix()
+
751 res += '\n'.join(body) + '\n'
+
752 res += ind + 'end'
+ + +
755 return res
+
756
+
+
+ +
758 self.local_variables = set()
+
759
+
+
+
760 def __add_local_variables(self, var_name):
+
761 assert var_name not in self.local_variables, 'Local variable {} already existed'.format(var_name)
+
762 self.local_variables.add(var_name)
+
763
+
+
+
764 def htype(self, tree):
+
765 self.__push_up(tree)
+
766 name, *args = tree.children
+
767 tpe = Primitive.get_primitive(name)
+
768 assert tpe is not None, 'Type {} is not defined'.format(name)
+
769 return tpe(*args)
+
770
+
+
+
771 def hreturnstmt(self, tree):
+
772 self.__push_up(tree)
+
773 logging.warning(
+
774 """Return statement is detected and omitted.\n"""
+
775 """ A return statement may not produce expected result,\n"""
+
776 """ consider removing it in the C++ code.\n"""
+
777 )
+
778 if len(tree.children) == 1:
+
779 return 'return {}'.format(tree.children[0])
+
780 elif len(tree.children) == 0:
+
781 return 'return'
+
782 return ''
+
783 else:
+
784 assert len(tree.children) in [0, 1], 'return statement can only have 0 or 1 return value'
785
-
786 def hconcat(self, tree):
+
786 def __gen_funcparam(self, tree):
787 self.__push_up(tree)
-
788 l, r = tree.children[0], tree.children[1]
-
789 res = '{{ {}, {} }}'.format(l, r)
-
790 return res
-
791
-
-
-
792 def vardecl(self, tree):
-
793 self.__push_up(tree)
-
794 return tree.children
-
795
-
-
-
796 def prevardecl(self, tree):
-
797 self.__push_up(tree)
-
798 new_children = []
-
799 for t in tree.children:
-
800 new_children.extend(t)
-
801 tree.children = new_children
-
802 return tree
-
803
-
-
-
804 def htypeinfo(self, tree):
-
805 # Note: htypeinfo should return an object that can be used to apply to a variable
-
806 self.__push_up(tree)
-
807 return tree.children[0]
-
808
-
-
-
809 def func_param_name_stub(self, tree):
-
810 self.__push_up(tree)
-
811 tree.insert_name(self.module_var_type.keys())
-
812 var_name, tpe = tree.children
+
788 var_name, tpe = tree.children
+
789 ctx = TypeContext(suffix='')
+
790 decl = tpe.to_str(var_name, context=ctx)
+
791 # if self.get_current_proc_name() is None:
+
792 # # we only check variables that are across processes, otherwise, there is no point in having non-blocking
+
793 # # assignment
+
794 # self.insert_current_module_var_type(var_name, tpe)
+
795 return decl, var_name, None
+
796
+
+
+
797 def funcparami(self, tree):
+
798 return self.__gen_funcparam(tree)
+
799
+
+
+
800 def funcparamio(self, tree):
+
801 return self.__gen_funcparam(tree)
+
802
+
+
+
803 def vardeclinit(self, tree):
+
804 self.__push_up(tree)
+
805 init_val = None
+
806 tpe = None
+
807 if len(tree.children) == 2:
+
808 var_name, tpe = tree.children
+
809 elif len(tree.children) == 3:
+
810 var_name, tpe, init_val = tree.children
+
811 else:
+
812 assert False, 'children size of vardeclinit is not 2 or 3, there might be a bug in the translator'
813 ctx = TypeContext(suffix='')
814 decl = tpe.to_str(var_name, context=ctx)
-
815 return decl, var_name, None
-
816
-
-
-
817 def hfunctionparams(self, tree):
-
818 self.__push_up(tree)
-
819 return tree
+
815 if self.get_current_proc_name() is None:
+
816 # we only check variables that are across processes, otherwise, there is no point in having non-blocking
+
817 # assignment
+
818 self.insert_current_module_var_type(var_name, tpe)
+
819 return (decl, var_name, init_val)
820
-
821 def hfunctionrettype(self, tree):
-
822 self.__push_up(tree)
-
823 if len(tree.children) != 0:
-
824 tpe = tree.children[0]
-
825 res = tpe.to_str(var_name='')
-
826 else:
-
827 res = "void"
-
828 return res
-
829
-
-
-
830 def htouint(self, tree):
-
831 self.__push_up(tree)
-
832 return '$unsigned({})'.format(tree.children[0])
-
833
-
-
-
834 def htoint(self, tree):
-
835 self.__push_up(tree)
-
836 return '$signed({})'.format(tree.children[0])
-
837
-
-
-
838 def htoulong(self, tree):
-
839 self.__push_up(tree)
-
840 return '$unsigned({})'.format(tree.children[0])
-
841
-
-
-
842 def htolong(self, tree):
-
843 self.__push_up(tree)
-
844 return '$signed({})'.format(tree.children[0])
-
845
-
-
-
846 def hfunctionlocalvars(self, tree):
-
847 self.__push_up(tree)
-
848 return tree
-
849
-
-
-
850 def hfunction(self, tree):
-
851 self.set_current_proc_name('#function#')
-
852 self.inc_indent()
-
853 self.__push_up(tree)
-
854 self.dec_indent()
-
855 ind = self.get_current_ind_prefix()
-
856
-
857 # function_name, return_type, params, localvar, body = tree.children
-
858 function_name, return_type = tree.children[:2]
-
859 params = ''
-
860 for ch in tree.children[2:]:
-
861 if is_tree_type(ch, 'hfunctionparams'):
-
862 params = ', '.join(map(lambda x: x[0], ch.children))
-
863 elif is_tree_type(ch, 'hfunctionlocalvars'):
-
864 localvar = ch
-
865 elif is_tree_type(ch, "hfunctionbody"):
-
866 body = ch
-
867
-
868
-
869 self.inc_indent()
-
870 ind = self.get_current_ind_prefix()
-
871 localvars = '\n'.join(map(lambda x: ind + x[0] + ';', localvar.children))
-
872 self.dec_indent()
-
873 body = '\n'.join(body.children)
-
874
-
875 ind = self.get_current_ind_prefix()
-
876 res = '{}function automatic {} {} ({});\n{}begin\n{}\n{}\n{}end\n{}endfunction'.format(ind, return_type, function_name,
-
877 params, ind,
-
878 localvars, body, ind, ind)
- -
880 return res
-
881
-
-
-
882 def collect_type(self, port_or_sig):
-
883 assert isinstance(port_or_sig, Tree) and \
-
884 port_or_sig.data in ['sigdecltype', 'portdecltype'], \
-
885 'collect_type must be invoked with portdecltype or sigdecltype, but got: {}'.format(port_or_sig)
-
886 id = port_or_sig.children[0].children[0]
-
887 tpe = port_or_sig.children[1]
- -
889
-
-
-
890 def sigdecltype(self, tree):
-
891 self.__push_up(tree)
-
892 self.collect_type(tree)
-
893 return tree
-
894
-
-
-
895 def portdecltype(self, tree):
-
896 self.__push_up(tree)
-
897 self.collect_type(tree)
-
898 return tree
-
899
-
-
-
900 def modportsiglist(self, tree):
-
901 self.__push_up(tree)
-
902 return tree
-
903
-
-
- -
905 return self.module_var_type[id]
-
906
-
-
-
907 def get_current_module_var_type_or_default(self, id, default=None):
-
908 if id not in self.module_var_type:
-
909 return default
-
910 return self.module_var_type[id]
-
911
-
-
- -
913 self.module_var_type = dict()
-
914
-
-
- -
916 if id in self.module_var_type:
-
917 raise ValueError('Duplicate signal declaration: {}'.format(id))
-
918 self.module_var_type[id] = tpe
-
919
-
-
-
920 def hthread(self, tree):
-
921 # hthread concists of 4 parts as children
-
922 # 1. thread name
-
923 # 2. signals declaration across hmethods
-
924 # 3. synchronous hmethod for setting state to next_state and reset
-
925 # 4. combinational hmethod for driving next_state
-
926 # We need 2 to be at the module level and thus its processing will be handled in hmodule
-
927 self.is_in_thread = True
-
928 self.thread_name = tree.children[0]
-
929 self.__push_up(tree)
-
930 del self.thread_name
-
931 self.is_in_thread = False
-
932 return tree
-
933
-
-
-
934 def __generate_hthread_block(self, tree, is_sync):
-
935 # currently we assume that the hthread block is simply and there is no sensitivity list and
-
936 # var decls, this might change in the future when we add support for resets
-
937 proc_name, *body = tree.children
-
938 if is_sync:
-
939 self.set_current_proc_name('#thread_sync#')
-
940 else:
-
941 self.set_current_proc_name(proc_name)
-
942
-
943 ind = self.get_current_ind_prefix()
-
944
-
945 thread_name = self.thread_name
-
946 sense_list = self.get_sense_list()
-
947 assert thread_name in sense_list, "Process name {} is not in module {}".format(proc_name, self.current_module)
-
948 if is_sync:
-
949 res = ind + 'always @({}) begin: {}\n'.format(' or '.join(self.get_sense_list()[thread_name]), proc_name)
-
950 else:
-
951 res = ind + 'always @(*) begin: {}\n'.format(proc_name)
-
952 self.inc_indent()
-
953 self.__push_up(tree)
-
954 proc_name, *body = tree.children
-
955 ind = self.get_current_ind_prefix()
-
956 res += '\n'.join(body) + '\n'
-
957 self.dec_indent()
-
958 ind = self.get_current_ind_prefix()
-
959 res += ind + 'end'
- - -
962 return res
-
963
-
-
-
964 def hthreadsync(self, tree):
-
965 res = self.__generate_hthread_block(tree, is_sync=True)
-
966 return res
-
967
-
-
-
968 def hthreadswitch(self, tree):
-
969 self.thread_comb = True
-
970 res = self.__generate_hthread_block(tree, is_sync=False)
-
971 self.thread_comb = False
-
972 return res
-
973
-
-
-
974 def hmodule(self, tree):
-
975 # dprint("Processing Module: ", tree.children[0])
-
976 # print("Retrieving Portbindings")
-
977 self.current_module = tree.children[0]
-
978 self.senselist = {}
- -
980 initialization_block = []
-
981 encountered_initblock = False
-
982 self.bindings = dict()
-
983 for t in tree.children:
-
984 if isinstance(t, Tree) and t.data == 'portbindinglist':
-
985 self.bindings[t.children[0]] = t.children[1]
-
986 elif is_tree_type(t, 'hmodinitblock'): # currently we only have one block
-
987 if encountered_initblock:
-
988 raise ValueError('Only one hmodinitblock should present')
-
989 encountered_initblock = True
-
990 name = t.children[0]
-
991 initblock, portbindings, senslist = None, None, []
-
992 for ch in t.children[1:]:
-
993 if ch.data == 'hcstmt': # TODO: have a dedicated node for initial block
-
994 initblock = ch
-
995 elif ch.data == 'portbindinglist':
-
996 portbindings = ch
-
997 elif ch.data == 'hsenslist':
-
998 senslist.append(ch)
-
999 else:
-
1000 raise ValueError(ch.pretty())
-
1001 if initblock:
-
1002 self.inc_indent()
-
1003 self.inc_indent()
-
1004 self.set_current_proc_name('#initblock#')
-
1005 self.__push_up(initblock)
- -
1007 self.dec_indent()
-
1008 self.dec_indent()
-
1009 if portbindings:
-
1010 for bds in portbindings.children[1]:
-
1011 mod_name = bds.children[0]
-
1012 bindings = bds.children[1:]
-
1013 if mod_name not in self.bindings:
-
1014 self.bindings[mod_name] = []
-
1015 self.bindings[mod_name].append(bindings)
-
1016 # has something within the initialization block
-
1017 if initblock and initblock.children:
-
1018 initialization_block.append(initblock.children[0])
-
1019 tree.children = list(filter(lambda x: not isinstance(x, Tree) or x.data != 'portbindinglist', tree.children))
-
1020 self.inc_indent()
-
1021 self.__push_up(tree)
-
1022 self.dec_indent()
-
1023
-
1024 module_name = tree.children[0]
-
1025 modportsiglist = None
-
1026 processlist = None
-
1027 functionlist = []
-
1028 vars = None
-
1029 mods = []
-
1030 for t in tree.children:
-
1031 if isinstance(t, Tree):
-
1032 if t.data == 'modportsiglist':
-
1033 modportsiglist = t
-
1034 elif t.data == 'processlist':
-
1035 processlist = t
-
1036 elif t.data =='hfunction':
-
1037 functionlist.append(t)
-
1038
-
1039 # module_name, modportsiglist, processlist, portbindinglist = tree.children
-
1040 if modportsiglist:
-
1041 ports = list(filter(lambda x: isinstance(x, Tree) and x.data == 'portdecltype', modportsiglist.children))
-
1042 sigs = list(filter(lambda x: isinstance(x, Tree) and x.data == 'sigdecltype', modportsiglist.children))
-
1043 vars = list(filter(lambda x: isinstance(x, tuple), modportsiglist.children))
-
1044 mods = list(filter(lambda x: isinstance(x, Tree) and x.data == 'moduleinst', modportsiglist.children))
-
1045 else:
-
1046 ports, sigs = None, None
-
1047
+
821 def hbindingarrayref(self, tree):
+
822 """
+
823 this expansion should only be invoked by expanding_binding_ref and should not be invoked elsewhere
+
824 the reason is that we need to collect binding information per arry-like port
+
825 """
+
826 self.__push_up(tree)
+
827 return '{}[{}]'.format(tree.children[0], tree.children[1])
+
828
+
+
+
829 def expand_binding_ref(self, tree):
+
830 if not is_tree_type(tree, 'hbindingarrayref'):
+
831 raise ValueError('expand_binding_ref only accepts hbindingarrayref')
+
832 self.__push_back(tree)
+
833 return '{}[{}]'.format(tree.children[0], tree.children[1])
+
834
+
+
+
835 def _get_interface_instance_decl(self, mod_name, mod_type_name, ind, is_array):
+
836 interface: Interface = self.itf_meta.get(mod_type_name, None)
+
837 res = ''
+
838 if interface:
+
839 res += ind + '{} {}();\n'.format(
+
840 interface.interface_name,
+
841 Interface.generate_instance_name(mod_name, is_array)
+
842 )
+
843 return res
+
844
+
+
+
845 def _get_interface_instance(self, mod_name, mod_type_name, is_array):
+
846 interface: Interface = self.itf_meta.get(mod_type_name, None)
+
847 if interface:
+
848 return Interface.generate_instance_name(mod_name, is_array)
+
849 return None
+
850
+
+
+
851 def modulearrayinst(self, tree):
+
852 res: str = ''
+
853 ind = self.get_current_ind_prefix()
+
854
+
855 mod_name, mod_type = tree.children
+
856 mod_type_name = mod_type.children[0].children[1].children[0]
+
857 mod_array_dimension = mod_type.children[0].children[2]
+
858
+
859 genvar_names = list(
+
860 map(lambda x: '_'.join([self.current_module, mod_name, str(x)]), range(len(mod_array_dimension)))
+
861 )
+
862 # genvar decl
+
863 for genvar in genvar_names:
+
864 res += ind + 'genvar {};\n'.format(genvar)
+
865
+
866 for idx, (genvar, dim) in enumerate(zip(genvar_names, mod_array_dimension)):
+
867 res += ind + "/*generate*/ for ({} = 0; {} < {}; {} = {} + 1) begin {}\n".format(
+
868 genvar, genvar, dim, genvar, genvar, ': ' + mod_name if idx == 0 else ''
+
869 )
+
870
+
871 self.inc_indent()
+
872 ind = self.get_current_ind_prefix()
+
873 # intf meta
+
874 res += self._get_interface_instance_decl(mod_name, mod_type_name, ind, True)
+
875 # the actual module def
+
876 res += ind + "{} mod(\n".format(mod_type_name)
+
877
+
878 # now collect the normal port binding
+
879 if mod_name not in self.bindingsbindings:
+
880 warnings.warn('Port bindings for module instance name {} not found'.format(mod_name))
+
881 bindings = []
+
882 else:
+
883 bindings = self.bindingsbindings[mod_name]
+
884
+
885
+
886
+
887 def extract_binding_name(x):
+
888 # FIXME: when the port connection is 2D, the original approach may not work
+
889 return get_ids_in_tree(x[0])[0]
+
890 # if is_tree_type(x[0], 'hbindingarrayref'):
+
891 # res = x[0].children[0].children[0]
+
892 # else:
+
893 # res = x[0].children[0]
+
894 # return res
+
895 # these are us trying to pull non-indexed bindings to the declaration point
+
896 orig_bindings = bindings
+
897 bindings_normal = list(filter(lambda x: '.' not in extract_binding_name(x), orig_bindings))
+
898 bindings_hier = list(filter(lambda x: '.' in extract_binding_name(x), orig_bindings))
+
899 bindings = bindings_normal
+
900 # ind = self.get_current_ind_prefix()
+
901 # res = ind + '{} {}('.format(mod_type_name, mod_name) + '\n'
+
902 # self.inc_indent()
+
903 # ind = self.get_current_ind_prefix()
+
904 binding_str = []
+
905 array_bindings = {}
+
906 for binding in bindings:
+
907 # for backward compatibility, we keep the case where binding is a list
+
908 if type(binding) == list:
+
909 sub, par = binding
+
910 else:
+
911 warnings.warn('Using Tree as binding is deprecated', DeprecationWarning)
+
912 sub, par = binding.children
+
913 if is_tree_type(sub, 'hbindingarrayref'):
+
914 # The .xxx part is an array
+
915 sub_name = get_ids_in_tree(sub)[0].value # assuming varref
+
916 if sub_name not in array_bindings:
+
917 array_bindings[sub_name] = {}
+
918 # if sub.children[0].data == 'hbindingarrayref':
+
919 # raise ValueError('nested 2-D array port is not supported')
+
920 array_bindings[sub_name][sub.children[1].children[0]] = par
+
921 else:
+
922 # at this point, the par should be able to be fully expanded even if it is an array
+
923 if is_tree_type(par, 'hbindingarrayref'):
+
924 par = self.expand_binding_ref(par)
+
925 else:
+
926 par = par.children[0].value
+
927 binding_str.append(ind + '.{}({})'.format(sub.children[0].value, par))
+
928 for sub_name, bindings in array_bindings.items():
+
929 # for now, we keep a dict of array binding
+
930 array_seq = [None] * len(bindings)
+
931 for idx, b in bindings.items():
+
932 # dprint(self.expand_binding_ref(b))
+
933 # array_seq[idx] = '{}[{}]'.format(b.children[0].children[0].value, b.children[1].children[0])
+
934 array_seq[idx] = self.expand_binding_ref(b)
+
935 binding_str.append(ind + ".{}('{{ {} }})".format(
+
936 sub_name, ','.join(array_seq)
+
937 ))
+
938 # res += ',\n'.join(binding_str)
+
939 # # switch to use interface
+
940 interface: Interface = self.itf_meta.get(mod_type_name, None)
+
941 self.inc_indent()
+
942 ind = self.get_current_ind_prefix()
+
943 res += ind + interface.generate_instance_name(mod_name, True)
+
944 self.dec_indent()
+
945 ind = self.get_current_ind_prefix()
+
946 res += "\n"
+
947
+
948 res += ind + ");\n"
+
949 self.dec_indent()
+
950 ind = self.get_current_ind_prefix()
+
951
+
952 for _ in mod_array_dimension:
+
953 res += ind + "end\n"
+
954
+
955
+
956 tree.children = [res]
+
957 return tree
+
958
+
+
+
959 def moduleinst(self, tree):
+
960 # -- these are actually for handling module array instance
+
961 # we should be ablt to forgo these
+
962 mod_name, mod_type = tree.children
+
963 # expand if it is an element of module array
+
964 mod_name = '_'.join(mod_name.split('#'))
+
965 if len(mod_type.children[0].children) > 1:
+
966 warnings.warn('Type parameters for modules are not supported')
+
967 mod_type_name = mod_type.children[0].children[0]
+
968 if mod_name not in self.bindingsbindings:
+
969 warnings.warn('Port bindings for module instance name {} not found'.format(mod_name))
+
970 bindings = []
+
971 else:
+
972 bindings = self.bindingsbindings[mod_name]
+
973 def extract_binding_name(x):
+
974 # FIXME: when the port connection is 2D, the original approach may not work
+
975 return get_ids_in_tree(x[0])[0]
+
976 # if is_tree_type(x[0], 'hbindingarrayref'):
+
977 # res = x[0].children[0].children[0]
+
978 # else:
+
979 # res = x[0].children[0]
+
980 # return res
+
981 # these are us trying to pull non-indexed bindings to the declaration point
+
982 interface_decl = self._get_interface_instance_decl(mod_name,
+
983 mod_type_name, '', False)
+
984 interface: Interface = self.itf_meta.get(mod_type_name, None)
+
985
+
986 # Now we need slightly different logic for port bindings since we are using interfaces
+
987
+
988 orig_bindings = bindings
+
989 bindings_normal = list(filter(lambda x: '.' not in extract_binding_name(x), orig_bindings))
+
990 bindings_hier = list(filter(lambda x: '.' in extract_binding_name(x), orig_bindings))
+
991 bindings = bindings_normal
+
992 ind = self.get_current_ind_prefix()
+
993 res = ind + interface_inst_arg + interface_decl + '\n'
+
994 res += ind + '{}{} {}('.format(module_inst_arg, mod_type_name, mod_name) + '\n'
+
995 self.inc_indent()
+
996 ind = self.get_current_ind_prefix()
+
997 interface_instance_name: str = self._get_interface_instance(mod_name, mod_type_name, False)
+
998 binding_str = []
+
999 array_bindings = {}
+
1000 for binding in bindings:
+
1001 # for backward compatibility, we keep the case where binding is a list
+
1002 if type(binding) == list:
+
1003 sub, par = binding
+
1004 else:
+
1005 warnings.warn('Using Tree as binding is deprecated', DeprecationWarning)
+
1006 sub, par = binding.children
+
1007 if is_tree_type(sub, 'hbindingarrayref'):
+
1008 # The .xxx part is an array
+
1009 sub_name = get_ids_in_tree(sub)[0].value # assuming varref
+
1010 if sub_name not in array_bindings:
+
1011 array_bindings[sub_name] = {}
+
1012 # if sub.children[0].data == 'hbindingarrayref':
+
1013 # raise ValueError('nested 2-D array port is not supported')
+
1014 array_bindings[sub_name][sub.children[1].children[0]] = par
+
1015 else:
+
1016 # at this point, the par should be able to be fully expanded even if it is an array
+
1017 if is_tree_type(par, 'hbindingarrayref'):
+
1018 par = self.expand_binding_ref(par)
+
1019 else:
+
1020 par = par.children[0].value
+
1021 if interface:
+
1022 for port_decl in interface.interfaces: # type: PortDecl
+
1023 if port_decl.name == sub.children[0].value:
+
1024 assert port_decl.direction in ['input', 'output'], "Interface port direction not recognized"
+
1025 if port_decl.direction == 'input':
+
1026 binding_str.append(ind + 'assign {}.{} = {};'.format(interface_instance_name, sub.children[0].value, par))
+
1027 elif port_decl.direction == 'output':
+
1028 binding_str.append(ind + 'assign {} = {}.{};'.format(par, interface_instance_name, sub.children[0].value))
+
1029 break
+
1030 for sub_name, bindings in array_bindings.items():
+
1031 assert False
+
1032 # for now, we keep a dict of array binding
+
1033 array_seq = [None] * len(bindings)
+
1034 for idx, b in bindings.items():
+
1035 # dprint(self.expand_binding_ref(b))
+
1036 # array_seq[idx] = '{}[{}]'.format(b.children[0].children[0].value, b.children[1].children[0])
+
1037 array_seq[idx] = self.expand_binding_ref(b)
+
1038 binding_str.append(ind + ".{}('{{ {} }})".format(
+
1039 sub_name, ','.join(array_seq)
+
1040 ))
+
1041 # Insert binding for hierarchical ports
+
1042 for binding in bindings:
+
1043 pass
+
1044 # dprint(binding)
+
1045
+
1046 if interface_instance_name:
+
1047 res += ind + interface_instance_name
1048
-
1049 res = 'module {} ('.format(module_name) + '\n'
-
1050 # Generate ports
-
1051 if ports:
-
1052 self.inc_indent()
-
1053 ind = self.get_current_ind_prefix()
-
1054 for idx, p in enumerate(ports):
-
1055 name, tpe = p.children
-
1056 name = name.children[0].value
-
1057 type_context = None
-
1058 if idx == len(ports) - 1:
-
1059 type_context = TypeContext(suffix='')
-
1060 res += ind + tpe.to_str(name, type_context) + '\n'
-
1061 self.dec_indent()
-
1062 res += ');\n'
-
1063 # Generate signals
-
1064 if sigs:
-
1065 self.inc_indent()
-
1066 ind = self.get_current_ind_prefix()
-
1067 for idx, p in enumerate(sigs):
-
1068 name, tpe = p.children
-
1069 name = name.children[0].value
-
1070 type_context = None
-
1071 res += ind + tpe.to_str(name, type_context) + '\n'
-
1072 self.dec_indent()
-
1073 # generate vars (including modules)
-
1074 if vars:
-
1075 self.inc_indent()
-
1076 ind = self.get_current_ind_prefix()
-
1077 res = self.__generate_vars_decl(ind, res, vars)
-
1078 self.dec_indent()
-
1079 # generate initialization block
-
1080 if initialization_block:
-
1081 self.inc_indent()
-
1082 ind = self.get_current_ind_prefix()
-
1083 res += '{}initial begin\n'.format(ind)
-
1084 res += '\n'.join(initialization_block)
-
1085 res += '\n{}end\n'.format(ind)
-
1086 self.dec_indent()
-
1087 # generate module instantiations
-
1088 if len(mods) > 0:
-
1089 for m in mods:
-
1090 res += m.children[0] + '\n'
-
1091 # Generate processes
-
1092 if processlist:
-
1093 for proc in processlist.children:
-
1094 if is_tree_type(proc, 'hthread'):
-
1095 # thread_name, thread_sig, thread_sync, thread_comb = proc.children
-
1096 thread_func = None
-
1097 if len(proc.children) == 3:
-
1098 thread_name, thread_sync, thread_comb = proc.children
-
1099 elif len(proc.children) == 4:
-
1100 thread_name, thread_func, thread_sync, thread_comb = proc.children
-
1101 else:
-
1102 assert False, "thread should have 3 or 4 children node"
-
1103 self.inc_indent()
-
1104 ind = self.get_current_ind_prefix()
-
1105 res += '{}// Thread: {}\n'.format(ind, thread_name)
-
1106 # res = self.__generate_vars_decl(ind, res, thread_sig.children)
-
1107 self.dec_indent()
-
1108 res += thread_sync + "\n"
-
1109 if thread_func:
-
1110 res += thread_func + "\n"
-
1111 res += thread_comb + "\n"
-
1112 else:
-
1113 res += proc + '\n'
-
1114
-
1115 if functionlist:
-
1116 # for f in functionlist:
-
1117 # res += f + '\n'
-
1118 assert False, "functionlist should be empty, there may be a bug in the code"
-
1119 res += "endmodule"
-
1120 return res
-
1121
-
-
-
1122 def hbuiltin(self, tree):
-
1123 self.__push_up(tree)
-
1124 return tree.children[0]
-
1125
-
-
-
1126 def hscmax(self, tree):
-
1127 assert len(tree.children) == 2, "sc_max node should only have 2 children"
+
1049 res += '\n'
+
1050 self.dec_indent()
+
1051 ind = self.get_current_ind_prefix()
+
1052 res += ind + ');\n'
+
1053
+
1054 res += '\n'.join(binding_str)
+
1055
+
1056 res += '\n'
+
1057 res += ind + "always @(*) begin\n"
+
1058 # res += ind + "always_comb begin\n"
+
1059 self.inc_indent()
+
1060 ind = self.get_current_ind_prefix()
+
1061 for bl, br in bindings_hier:
+
1062 res += ind + '{} = {};\n'.format(bl.children[0], br.children[0])
+
1063 self.dec_indent()
+
1064 ind = self.get_current_ind_prefix()
+
1065 res += ind + "end\n"
+
1066 # add an always block for port binding when we encounter sub module case
+
1067 tree.children = [res]
+
1068 return tree
+
1069
+
+
+
1070 def hlrotate(self, tree):
+
1071 self.__push_up(tree)
+
1072 val, rot = tree.children
+
1073 return '({} << {}) | ($unsigned({}) >> ($bits({}) - {}))'.format(val, rot, val, val, rot)
+
+
+
1074 def horreduce(self, tree):
+
1075 self.__push_up(tree)
+
1076 val = tree.children[0]
+
1077 return '(|{})'.format(val)
+
1078
+
+
+
1079 def hconcat(self, tree):
+
1080 self.__push_up(tree)
+
1081 l, r = tree.children[0], tree.children[1]
+
1082 res = '{{ {}, {} }}'.format(l, r)
+
1083 return res
+
1084
+
+
+
1085 def vardecl(self, tree):
+
1086 self.__push_up(tree)
+
1087 return tree.children
+
1088
+
+
+
1089 def prevardecl(self, tree):
+
1090 self.__push_up(tree)
+
1091 new_children = []
+
1092 for t in tree.children:
+
1093 new_children.extend(t)
+
1094 tree.children = new_children
+
1095 return tree
+
1096
+
+
+
1097 def htypeinfo(self, tree):
+
1098 # Note: htypeinfo should return an object that can be used to apply to a variable
+
1099 self.__push_up(tree)
+
1100 return tree.children[0]
+
1101
+
+
+
1102 def func_param_name_stub(self, tree):
+
1103 self.__push_up(tree)
+
1104 tree.insert_name(self.module_var_type.keys())
+
1105 var_name, tpe = tree.children
+
1106 ctx = TypeContext(suffix='')
+
1107 decl = tpe.to_str(var_name, context=ctx)
+
1108 return decl, var_name, None
+
1109
+
+
+
1110 def hfunctionparams(self, tree):
+
1111 self.__push_up(tree)
+
1112 return tree
+
1113
+
+
+
1114 def hfunctionrettype(self, tree):
+
1115 self.__push_up(tree)
+
1116 if len(tree.children) != 0:
+
1117 tpe = tree.children[0]
+
1118 res = tpe.to_str(var_name='')
+
1119 else:
+
1120 res = "void"
+
1121 return res
+
1122
+
+
+
1123 def htouint(self, tree):
+
1124 self.__push_up(tree)
+
1125 return '$unsigned({})'.format(tree.children[0])
+
1126
+
+
+
1127 def htoint(self, tree):
1128 self.__push_up(tree)
-
1129 L = tree.children[0]
-
1130 R = tree.children[1]
-
1131 return "(({}) < ({}) ? ({}) : ({}))".format(L, R, R, L)
-
1132
-
-
-
1133 def hscmin(self, tree):
-
1134 assert len(tree.children) == 2, "sc_min node should only have 2 children"
-
1135 self.__push_up(tree)
-
1136 L = tree.children[0]
-
1137 R = tree.children[1]
-
1138 return "(({}) < ({}) ? ({}) : ({}))".format(L, R, L, R)
-
1139
-
-
-
1140 def __is_generated_signal(self, name):
-
1141 return name.endswith('#')
+
1129 return '$signed({})'.format(tree.children[0])
+
1130
+
+
+
1131 def htoulong(self, tree):
+
1132 self.__push_up(tree)
+
1133 return '$unsigned({})'.format(tree.children[0])
+
1134
+
+
+
1135 def htolong(self, tree):
+
1136 self.__push_up(tree)
+
1137 return '$signed({})'.format(tree.children[0])
+
1138
+
+
+
1139 def hfunctionlocalvars(self, tree):
+
1140 self.__push_up(tree)
+
1141 return tree
1142
-
1143 def __generate_vars_decl(self, ind, res, vars):
-
1144 for decl, name, init in vars:
-
1145 if self.__is_generated_signal(name):
-
1146 # decl = '(* mark_debug = "true" *) ' + decl.replace('#', "")
-
1147 decl = decl.replace('#', "")
-
1148 if init:
-
1149 decl = decl + ' = ' + str(init) + ';'
-
1150 else:
-
1151 decl += ';'
-
1152 res += ind + decl + '\n'
-
1153 return res
+
1143 def hfunction(self, tree):
+
1144 self.set_current_proc_name('#function#')
+
1145 self.inc_indent()
+
1146 self.__push_up(tree)
+
1147 self.dec_indent()
+
1148 ind = self.get_current_ind_prefix()
+
1149
+
1150 # function_name, return_type, params, localvar, body = tree.children
+
1151 function_name, return_type = tree.children[:2]
+
1152 params = ''
+
1153 for ch in tree.children[2:]:
+
1154 if is_tree_type(ch, 'hfunctionparams'):
+
1155 params = ', '.join(map(lambda x: x[0], ch.children))
+
1156 elif is_tree_type(ch, 'hfunctionlocalvars'):
+
1157 localvar = ch
+
1158 elif is_tree_type(ch, "hfunctionbody"):
+
1159 body = ch
+
1160
+
1161
+
1162 self.inc_indent()
+
1163 ind = self.get_current_ind_prefix()
+
1164 localvars = '\n'.join(map(lambda x: ind + x[0] + ';', localvar.children))
+
1165 self.dec_indent()
+
1166 body = '\n'.join(body.children)
+
1167
+
1168 ind = self.get_current_ind_prefix()
+
1169 res = '{}function automatic {} {} ({});\n{}begin\n{}\n{}\n{}end\n{}endfunction'.format(ind, return_type, function_name,
+
1170 params, ind,
+
1171 localvars, body, ind, ind)
+ +
1173 return res
+
1174
+
+
+
1175 def collect_type(self, port_or_sig):
+
1176 assert isinstance(port_or_sig, Tree) and \
+
1177 port_or_sig.data in ['sigdecltype', 'portdecltype'], \
+
1178 'collect_type must be invoked with portdecltype or sigdecltype, but got: {}'.format(port_or_sig)
+
1179 id = port_or_sig.children[0].children[0]
+
1180 tpe = port_or_sig.children[1]
+
1181 self.insert_current_module_var_type(id, tpe)
+
1182
+
+
+
1183 def sigdecltype(self, tree):
+
1184 self.__push_up(tree)
+
1185 self.collect_type(tree)
+
1186 return tree
+
1187
+
+
+
1188 def portdecltype(self, tree):
+
1189 self.__push_up(tree)
+
1190 self.collect_type(tree)
+
1191 return tree
+
1192
+
+
+
1193 def modportsiglist(self, tree):
+
1194 self.__push_up(tree)
+
1195 return tree
+
1196
+
+
+ +
1198 return self.module_var_type[id]
+
1199
+
+
+
1200 def get_current_module_var_type_or_default(self, id, default=None):
+
1201 if id not in self.module_var_type:
+
1202 return default
+
1203 return self.module_var_type[id]
+
1204
+
+
+ +
1206 self.module_var_type = dict()
+
1207
+
+
+ +
1209 if id in self.module_var_type:
+
1210 raise ValueError('Duplicate signal declaration: {}'.format(id))
+
1211 self.module_var_type[id] = tpe
+
1212
+
+
+
1213 def hthread(self, tree):
+
1214 # hthread concists of 4 parts as children
+
1215 # 1. thread name
+
1216 # 2. signals declaration across hmethods
+
1217 # 3. synchronous hmethod for setting state to next_state and reset
+
1218 # 4. combinational hmethod for driving next_state
+
1219 # We need 2 to be at the module level and thus its processing will be handled in hmodule
+
1220 self.is_in_thread = True
+
1221 self.thread_name = tree.children[0]
+
1222 self.__push_up(tree)
+
1223 del self.thread_name
+
1224 self.is_in_thread = False
+
1225 return tree
+
1226
+
+
+
1227 def __generate_hthread_block(self, tree, is_sync):
+
1228 # currently we assume that the hthread block is simply and there is no sensitivity list and
+
1229 # var decls, this might change in the future when we add support for resets
+
1230 proc_name, *body = tree.children
+
1231 if is_sync:
+
1232 self.set_current_proc_name('#thread_sync#')
+
1233 else:
+
1234 self.set_current_proc_name(proc_name)
+
1235
+
1236 ind = self.get_current_ind_prefix()
+
1237
+
1238 thread_name = self.thread_name
+
1239 sense_list = self.get_sense_list()
+
1240 assert thread_name in sense_list, "Process name {} is not in module {}".format(proc_name, self.current_module)
+
1241 if is_sync:
+
1242 sense_list = self.get_sense_list()[thread_name]
+
1243 if 'posedge clk' not in sense_list:
+
1244 warnings.warn("Clock not detected in senstivity list, adding one by default")
+
1245 sense_list = ['posedge clk'] + sense_list
+
1246 res = ind + 'always @({}) begin: {}\n'.format(' or '.join(sense_list), proc_name)
+
1247 else:
+
1248 res = ind + 'always @(*) begin: {}\n'.format(proc_name)
+
1249 self.inc_indent()
+
1250 self.__push_up(tree)
+
1251 proc_name, *body = tree.children
+
1252 ind = self.get_current_ind_prefix()
+
1253 res += '\n'.join(body) + '\n'
+
1254 self.dec_indent()
+
1255 ind = self.get_current_ind_prefix()
+
1256 res += ind + 'end'
+ + +
1259 return res
+
1260
+
+
+
1261 def hthreadsync(self, tree):
+
1262 res = self.__generate_hthread_block(tree, is_sync=True)
+
1263 return res
+
1264
+
+
+
1265 def hthreadswitch(self, tree):
+
1266 self.thread_comb = True
+
1267 res = self.__generate_hthread_block(tree, is_sync=False)
+
1268 self.thread_comb = False
+
1269 return res
+
1270
+
+
+
1271 def genbindinglist(self, tree):
+
1272 # this node is created in portbinding_recollect.py passes
+
1273 # dprint(f"raw dynamically generated genbindinglist node:\n{tree.pretty()}")
+
1274 self.__push_up(tree)
+
1275 return tree
+
1276
+
+
+
1277 def genvardecl(self, tree):
+
1278 genvars = list(map(lambda x: "{}genvar {};".format(self.get_current_ind_prefix(), x), tree.children))
+
1279 res = '\n'.join(genvars)
+
1280 return res
+
1281
+
+
+
1282 def genfor(self, tree):
+
1283 self.__push_up(tree)
+
1284 return "\n".join(tree.children)
+
1285
+
+
+
1286 def hmodule(self, tree):
+
1287 # dprint("Processing Module: ", tree.children[0])
+
1288 # print("Retrieving Portbindings")
+
1289 self.current_module = tree.children[0]
+ + +
1292 initialization_block = []
+
1293 encountered_initblock = False
+
1294 self.bindingsbindings = dict()
+
1295 genbindinglist = None
+
1296 for t in tree.children:
+
1297 if isinstance(t, Tree) and t.data == 'portbindinglist':
+
1298 self.bindingsbindings[t.children[0]] = t.children[1]
+
1299 assert False, "Deadcode"
+
1300 elif is_tree_type(t, 'hmodinitblock'): # currently we only have one block
+
1301 if encountered_initblock:
+
1302 raise ValueError('Only one hmodinitblock should present')
+
1303 encountered_initblock = True
+
1304 name = t.children[0]
+
1305 initblock, portbindings, senslist, genbindinglist = None, None, [], None
+
1306 for ch in t.children[1:]:
+
1307 if ch.data == 'hcstmt': # TODO: have a dedicated node for initial block
+
1308 initblock = ch
+
1309 elif ch.data == 'portbindinglist':
+
1310 portbindings = ch
+
1311 elif ch.data == 'hsenslist':
+
1312 senslist.append(ch)
+
1313 elif ch.data == 'vardecl':
+
1314 initblock = ch
+
1315 elif ch.data == 'hnamedsensevar':
+
1316 senslist.append(ch)
+
1317 elif ch.data == 'genbindinglist':
+
1318 genbindinglist = ch
+
1319 else:
+
1320 raise ValueError(ch.pretty())
+
1321 if initblock:
+
1322 self.inc_indent()
+
1323 self.inc_indent()
+
1324 self.set_current_proc_name('#initblock#')
+
1325 self.__push_up(initblock)
+ +
1327 self.dec_indent()
+
1328 self.dec_indent()
+
1329 if portbindings:
+
1330 for bds in portbindings.children[1]:
+
1331 mod_name = bds.children[0]
+
1332 bindings = bds.children[1:]
+
1333 if mod_name not in self.bindingsbindings:
+
1334 self.bindingsbindings[mod_name] = []
+
1335 self.bindingsbindings[mod_name].append(bindings)
+
1336 # has something within the initialization block
+
1337 if initblock and initblock.children:
+
1338 initialization_block.append(initblock.children[0])
+
1339 tree.children = list(filter(lambda x: not isinstance(x, Tree) or x.data != 'portbindinglist', tree.children))
+
1340 self.inc_indent()
+
1341 self.__push_up(tree)
+
1342 self.dec_indent()
+
1343
+
1344 module_name = tree.children[0]
+
1345 modportsiglist = None
+
1346 processlist = None
+
1347 generatelist = []
+
1348 functionlist = []
+
1349 vars = None
+
1350 mods = []
+
1351 for t in tree.children:
+
1352 if isinstance(t, Tree):
+
1353 if t.data == 'modportsiglist':
+
1354 modportsiglist = t
+
1355 elif t.data == 'processlist':
+
1356 processlist = t
+
1357 elif t.data == 'hgenerateblock':
+
1358 generatelist.append(t.children[0])
+
1359 elif t.data =='hfunction':
+
1360 functionlist.append(t)
+
1361
+
1362 # module_name, modportsiglist, processlist, portbindinglist = tree.children
+
1363 if modportsiglist:
+
1364 ports = list(filter(lambda x: isinstance(x, Tree) and x.data == 'portdecltype', modportsiglist.children))
+
1365 sigs = list(filter(lambda x: isinstance(x, Tree) and x.data == 'sigdecltype', modportsiglist.children))
+
1366 vars = list(filter(lambda x: isinstance(x, tuple), modportsiglist.children))
+
1367 mods = list(filter(lambda x: isinstance(x, Tree) and
+
1368 (x.data == 'moduleinst' or x.data == 'modulearrayinst'),
+
1369 modportsiglist.children))
+
1370 else:
+
1371 ports, sigs = None, None
+
1372
+
1373
+
1374 res = module_arg + 'module {} ('.format(module_name) + '\n'
+
1375 # Generate ports
+
1376 port_str = ''
+
1377 if ports:
+
1378 self.inc_indent()
+
1379 ind = self.get_current_ind_prefix()
+
1380 for idx, p in enumerate(ports):
+
1381 name, tpe = p.children
+
1382 name = name.children[0].value
+
1383 type_context = None
+
1384 if idx == len(ports) - 1:
+
1385 type_context = TypeContext(suffix='')
+
1386 port_str += ind + tpe.to_str(name, type_context) + '\n'
+
1387 self.dec_indent()
+
1388 interface = self.itf_meta.get(module_name, None)
+
1389 if interface:
+
1390 port_str = port_decl_inst_arg + ' ' + interface.interface_name + '.port0 ' + interface.generate_interface_decl_name() + '\n'
+
1391 res += port_str
+
1392 res += ');\n'
+
1393 # Generate signals
+
1394 if sigs:
+
1395 self.inc_indent()
+
1396 ind = self.get_current_ind_prefix()
+
1397 for idx, p in enumerate(sigs):
+
1398 name, tpe = p.children
+
1399 name = name.children[0].value
+
1400 type_context = None
+
1401 res += ind + tpe.to_str(name, type_context) + '\n'
+
1402 self.dec_indent()
+
1403 # generate vars (including modules)
+
1404 if vars:
+
1405 self.inc_indent()
+
1406 ind = self.get_current_ind_prefix()
+
1407 res = self.__generate_vars_decl(ind, res, vars)
+
1408 self.dec_indent()
+
1409 # generate initialization block
+
1410 if initialization_block:
+
1411 self.inc_indent()
+
1412 ind = self.get_current_ind_prefix()
+
1413 res += '{}initial begin\n'.format(ind)
+
1414 res += '\n'.join(initialization_block)
+
1415 res += '\n{}end\n'.format(ind)
+
1416 self.dec_indent()
+
1417 # generate module instantiations
+
1418 if len(mods) > 0:
+
1419 for m in mods:
+
1420 res += m.children[0] + '\n'
+
1421
+
1422 # Generate processes
+
1423 if processlist:
+
1424 for proc in processlist.children:
+
1425 if is_tree_type(proc, 'hthread'):
+
1426 # thread_name, thread_sig, thread_sync, thread_comb = proc.children
+
1427 thread_func = None
+
1428 if len(proc.children) == 3:
+
1429 thread_name, thread_sync, thread_comb = proc.children
+
1430 elif len(proc.children) == 4:
+
1431 thread_name, thread_func, thread_sync, thread_comb = proc.children
+
1432 else:
+
1433 assert False, "thread should have 3 or 4 children node"
+
1434 self.inc_indent()
+
1435 ind = self.get_current_ind_prefix()
+
1436 res += '{}// Thread: {}\n'.format(ind, thread_name)
+
1437 # res = self.__generate_vars_decl(ind, res, thread_sig.children)
+
1438 self.dec_indent()
+
1439 res += thread_sync + "\n"
+
1440 if thread_func:
+
1441 res += thread_func + "\n"
+
1442 res += thread_comb + "\n"
+
1443 else:
+
1444 res += proc + '\n'
+
1445
+
1446 if functionlist:
+
1447 # for f in functionlist:
+
1448 # res += f + '\n'
+
1449 assert False, "functionlist should be empty, there may be a bug in the code"
+
1450 if generatelist:
+
1451 for f in generatelist:
+
1452 res += f + '\n'
+
1453 if genbindinglist:
+
1454 res += "\n".join(genbindinglist.children) + "\n"
+
1455 res += "endmodule"
+
1456 return res
+
1457
+
+
+
1458 def hbuiltin(self, tree):
+
1459 self.__push_up(tree)
+
1460 return tree.children[0]
+
1461
+
+
+
1462 def hscmax(self, tree):
+
1463 assert len(tree.children) == 2, "sc_max node should only have 2 children"
+
1464 self.__push_up(tree)
+
1465 L = tree.children[0]
+
1466 R = tree.children[1]
+
1467 return "(({}) < ({}) ? ({}) : ({}))".format(L, R, R, L)
+
1468
+
+
+
1469 def hscmin(self, tree):
+
1470 assert len(tree.children) == 2, "sc_min node should only have 2 children"
+
1471 self.__push_up(tree)
+
1472 L = tree.children[0]
+
1473 R = tree.children[1]
+
1474 return "(({}) < ({}) ? ({}) : ({}))".format(L, R, L, R)
+
1475
+
+
+
1476 def __is_generated_signal(self, name):
+
1477 return name.endswith('#')
+
1478
+
+
+
1479 def __generate_vars_decl(self, ind, res, vars):
+
1480 for decl, name, init in vars:
+
1481 if self.__is_generated_signal(name):
+
1482 # decl = '(* mark_debug = "true" *) ' + decl.replace('#', "")
+
1483 decl = decl.replace('#', "")
+
1484 if init:
+
1485 decl = decl + ' = ' + str(init) + ';'
+
1486 else:
+
1487 decl += ';'
+
1488 res += ind + decl + '\n'
+
1489 return res
+
1490
+
+
+
1491 def hgenvardecl(self, tree):
+
1492 new_children = []
+
1493 for t in tree.children:
+
1494 for v in self.vardecl(t):
+
1495 new_children.append(v.children)
+
1496 ctx = TypeContext(prefix='genvar', suffix='')
+
1497 tree.children = [
+
1498 (t[1].to_str(t[0], context=ctx), t[0], None) for t in new_children
+
1499 ]
+
1500 return tree.children
+
1501
+
+
1502 """called for the special genblock"""
+
+
1503 def __forstmt_gen_block(self, tree):
+
1504
+
1505 self.push_current_scope_type('loop')
+
1506 new_children = []
+
1507 self.push_indent()
+
1508 new_children.extend(self.visit(t) for t in tree.children[:3])
+
1509 self.pop_indent()
+
1510
+
1511 self.inc_indent()
+
1512 new_children.extend(self.visit(t) for t in tree.children[3:])
+
1513 self.dec_indent()
+
1514
+
1515 if len(new_children) == 3:
+
1516 warnings.warn("empty for loop")
+
1517 for_init, for_cond, for_post = new_children
+
1518 for_body = ''
+
1519 else:
+
1520 for_init, for_cond, for_post, for_body = new_children
+
1521
+
1522 ind = self.get_current_ind_prefix()
+
1523 res = ind + '/*generate*/ for ({};{};{}) begin\n'.format(for_init, for_cond, for_post)
+
1524 res += for_body + '\n'
+
1525 res += ind + 'end'
+ +
1527 return res
+
1528
+
1529
+
+
+
1530 def hgenerateblock(self, tree):
+ +
1532 self.__push_up(tree)
+
1533
+
1534 hgenvarecl, *body = tree.children
+
1535 tree.children = [';\n'.join([
+
1536 t[0] for t in hgenvarecl
+
1537 ]) + ';\n' + "\n".join(body)]
+
1538
+ +
1540 return tree
__push_up(self, current_node)
Definition top_down.py:29
- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
_get_interface_instance_decl(self, mod_name, mod_type_name, ind, is_array)
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
_get_interface_instance(self, mod_name, mod_type_name, is_array)
+ + + + + + + + + + + + + + + + + + + + + + + + + +
+